zoukankan      html  css  js  c++  java
  • 【BZOJ1811】[Ioi2005]mea 乱搞

    【BZOJ1811】[Ioi2005]mea

    Description

    考虑一个非递减的整数序列 S1,....Sn+1(Si<=Si+1 1<=i<=n)。 序列M1...Mn是定义在序列S的基础上,关系式为 Mi=( Si + S(i+1) )/2, 1<=i<=n, 序列M叫做序列S的平均数序列。例如序列1,2,2,4的平均数序列为 1.5,2,3.注意到平均数序列中的元素可能为小数。但是本题的任务只是处理平均数序列都为整数的情况。 给出一个n个数字的非递减的整数序列M1,M2...Mn.请你计算出:序列S,S1...S(n+1)的平均序列是M1,...,Mn。 求满足以上条件的序列S的总个数。 任务: * 从标准输入文件中读入一个非递减的整数序列。 * 计算出平均序列是给出序列的整数序列的总个数。 * 把计算结果写到标准输出文件中。

    Input

    输入文件的第一行包含一个整数n(2<=n<=5 000 000).接下来的n行包含了这个给出的整数序列M1,..,Mn. 第i+1行包含一个整数Mi(1<=mi<=1000000000).对于本题,50%的测试数据中n<=1000,0<=Mi<=20000.

    Output

    输出文件仅一行,即所求答案。

    Sample Input

    3
    2
    5
    9

    Sample Output

    4

    HINT

    本题一共存在4种序列, 他们的平均数序列都是2,3,9。这四种序列如下:
    * 2,2,8,10
    * 1,3,7,11
    * 0,4,6,12
    *-1,5,5,13

    题解:显然只要S1确定了,那么其他所有数都确定了。那么我们将所有数都用S1表示,显然,当i为奇数时,Si可以表示成$(M_1-M_2+M_3-...+M_{i-1}) imes 2 - S_1$,当i为偶数时,$S_i=(-M_1+M_2-M_3+...+M_{i-1})+S_1$。又因为$S_{i-1}<S_i$,所以我们相当于得到了n个一元一次不等式,求出不等式组的解即可。

    #include <cstdio>
    #include <cstring>
    #include <iostream>
    using namespace std;
    typedef long long ll;
    const int maxn=5000010;
    int n;
    ll l,r;
    ll s[maxn];
    inline int rd()
    {
    	int ret=0,f=1;	char gc=getchar();
    	while(gc<'0'||gc>'9')	{if(gc=='-')f=-f;	gc=getchar();}
    	while(gc>='0'&&gc<='9')	ret=ret*10+gc-'0',gc=getchar();
    	return ret*f;
    }
    int main()
    {
    	n=rd(),l=-1ll<<40,r=1ll<<40;
    	int i;
    	for(i=1;i<=n;i++)
    	{
    		s[i]=-s[i-1]+(rd()<<1);
    		if(i&1)	r=min(r,(s[i]-s[i-1])>>1);
    		else	l=max(l,(s[i-1]-s[i])>>1);
    	}
    	printf("%lld",max(r-l+1,0ll));
    	return 0;
    }
  • 相关阅读:
    Thinkphp3.2 下载文件的方法
    使用Git向GitHub上传代码
    Ajax的调试错误信息的输出
    常用的邮箱服务器(SMTP、POP3)地址、端口
    Laravel框架中使用邮件发送功能
    Laravel框架之CSRF防跨站攻击
    Laravel框架接入短信平台进行用户注册短信验证
    Laravel中使用Session存取验证码信息
    beego api 服务允许跨域访问,解决前端访问报Access-Control-Allow-Origin问题
    golang:send mail using smtp package
  • 原文地址:https://www.cnblogs.com/CQzhangyu/p/7605527.html
Copyright © 2011-2022 走看看