zoukankan      html  css  js  c++  java
  • Scanner接收字符

    char num = input.next().charAt(0);

    //截取指定位置的字符,下标从0开始
    System.out.println("helloworld".charAt(0)); //h
    System.out.println("helloworld".charAt(1)); //e
    System.out.println("helloworld".charAt(9)); //d
    System.out.println("helloworld".charAt(5)); //w



    • 判断输入是否是int        hasNextInt()
    • 判断输入是否是boolean  hasNextBoolean()
    • 判断输入是否是byte    hasNextByte()
    • 判断输入是否是short    hasNextShort()
    • 判断输入是否是long    hasNextLong()
    •  判断输入是否是float    hasNextFloat()
    •  判断输入是否是double   hasNextDouble()
    • 判断是否输入另一行 (如果在此扫描器的输入中存在另一行,则返回 true) hasNextLine()
    • public class Test02 {
          public static void main(String[] args) {
              Scanner input = new Scanner(System.in);
              System.out.print("请输入考试分数:");
              //判断是否是int类型,如果是, hasNextInt()返回 true  
              //如果不是,hasNextInt()返回 false
              if(input.hasNextInt()){
                  //接收
                  int score = input.nextInt();
                  switch (score/10) {
                      case 10:
                      case 9:
                      case 8:
                          System.out.println("优秀");
                          break;
                      case 7:
                          System.out.println("良好");
                          break;
                      case 6:
                          System.out.println("及格");
                          break;
                      default:
                          System.out.println("再接再厉");
                          break;
                  }
              }else{
                  System.out.println("输入非法字符,请输入整数!");
              }
          }
      }

      在接收输入前可判断输入是否正确



    • Integer.parseInt ()返回的是一个int 类型 ,也就是基本数据类型;
    • Integer.valueOf()返回的是Integer类型, 也就是包装类型
    • 在使用 这两个方法的时候不能传入""或者null 否则会报错的!
  • 相关阅读:
    2015多校1006.First One
    2015多校.MZL's endless loop(欧拉回路的机智应用 || 构造)
    LUXURY 8
    矩阵快速幂模板
    博弈入门
    cf558c(bfs)
    LUXURY 7
    dfs序 + RMQ = LCA
    双端队列
    UVa-401 Palindromes
  • 原文地址:https://www.cnblogs.com/MRCH/p/11643767.html
Copyright © 2011-2022 走看看