zoukankan      html  css  js  c++  java
  • [原创]Quartus 中调用 Modelsim 波形仿真

    在使用 QuartusII 软件的过程中,经常地需要跑仿真,那么说到仿真就不得不说 Modelsim 这个仿真软件了,我们这里介绍下该软件在 QuartusII 中的使用方法。 

    建立Quartus和Modelsim的连接

    如果是首次使用,需建立连接。Tools -->> Options -->> EDA Tools Option,在 Modelsim-Altera 处选择应用软件路径。比如 “D:altera13.0modelsim_aewin32aloem” 这种。

    建立测试文件

    Processing -->> Start -->> Start Test Bench Template Writer,建立好之后自行进行编写测试文件。13.0 版本的 vt 测试文件在 ../simulation/modelsim/ 路径下。

    添加测试文件

    Assignments -->> Setting-->> EDA Tool Setting。

    图1

    在下方 NativeLink Setting 处选择刚才的测试文件。完整填写下图后添加测试工程。

    图2

    编译执行仿真

    上述操作完成进行编译,编译完成后 Tools -->> Run Simulation Tool -->> RTL Simulation 即可进行仿真操作,之后 Modelsim 就会打开并按照测试文件进行执行。

  • 相关阅读:
    第二次结对编程作业
    第5组 团队展示
    第一次结对编程作业
    第一次个人编程作业
    51 Nod 1024 Set
    51 Nod 1007 dp
    YY的GCD 数学
    选课 树形背包dp
    运输问题 费用流
    分配问题 费用流
  • 原文地址:https://www.cnblogs.com/airbird/p/11455201.html
Copyright © 2011-2022 走看看