zoukankan      html  css  js  c++  java
  • 关于OrCAD Capture CIS导网表出现问题的解决方法

    在Capture CIS中完成原理图编辑修改后,导出网表时,出现了以下错误:

    #192 ERROR(ORCAP-36004): Conflicting values of part name found on different sections of "U1".

    Conflicting values: EP4CE75F23C8N_FG484_1D0_12X12MM_(S1+S2+S3+S4)_EP4CE75F23C8N & EP4CE75F23C8N_4_FG484_1D0_12X12MM_(S1+S2+S3+S4)_EP4CE75F23C8N

    Property values of "Device","PCB FootPrint", "Class" and "Value" should be identical

     on all sections of the part.

    #193 ERROR(ORCAP-36018): Aborting Netlisting... Please correct the above errors and retry.

    问题出现情况:

    在CIS中,我要对其中一个元件的原理图封装进行了一点修改(更改芯片的一个引脚名),该FPGA元件分为4个Part,右键点击需要更改引脚的Part4,选择Edit Part。

    完成编辑后Close,弹出窗口选择Update Current;原理图保存生成网表时,却出现了以上的错误。

    问题解决:

    1、右键点击刚修改过的FPGA元件的Part4,点击Edit Part,进入元件编辑界面,跳转到该元件的Part4;

    2、然后选择Options -> Package Properties,如下图所示:

     

    3、弹出如下窗口;

     

    注意到该Part Name变成了EP4CE75F23C8N_4,多了个尾缀_4,将该尾缀去掉,保存并更新元件;

    然后再导出网表,以上出现的错误问题解决。

    小结:

    出现以上问题的根源是:在编辑完元件后,软件自动将该Part部分的Name添加了尾缀_4,导致该Part部分与其它三个Part名称不同,但是它们其它的属性(如:Device、PCB FootPrint、Class、Value等)又都是相同的,造成冲突。

    按照以上方法更改相关Part名称后,即可解决该问题。

    以后在原理图中在线修改元件的原理图封装时(尤其一个元件被划分为多部分时),尤其需注意避免该问题的出现。

  • 相关阅读:
    go笔记 (异或交换两个数字变量需要注意的问题)
    java子线程中获取父线程的threadLocal中的值
    关于java线程池的线程废弃与存活条件分析
    .net实现上传图片到共享目录(远程服务器)
    .net 获取两个时间段中间的日期
    ASP .NET数据写入oracle数据库
    MySql定时插入数据
    Sitecore8.2 .net Solr搜索实现
    .net 盘古分词
    json_3层格式_数据源DataSet
  • 原文地址:https://www.cnblogs.com/asus119/p/3029524.html
Copyright © 2011-2022 走看看