zoukankan      html  css  js  c++  java
  • Xilinx器件原语

    原语,其英文名为primitive,是FPGA厂商针对其器件特征开发的一系列常用模块的名称。原语是FPGA芯片中基本元件,代表FPGA中实际拥有的硬件逻辑单元,如LUT,D触发器,RAM等。相当于软件中的机器语言。原语在设计中可以直接例化使用,是最直接的代码输入方式,原语和HDL原语的关系,类似于汇编语言和C语言的关系。

    Xilinx公司的原语按功能分为10类,包括计算组件、I/O端口组件、寄存器和锁存器(FPGA中有锁存器吗?)、时钟组件、处理器组件、移位寄存器、配置和检测组件、RAM/ROM组件、Slice/CLB组件以及吉比特收发器组件。常用的原因包括时钟缓冲、差分和单端信号相互转换以及I/O处理(DDR、DDR2)原语。

    详细的原语资料可以在ISE安装目录下$XILINX/doc/useenglish/isehelp 文件夹中找到。每个器件系列的原语并不完全相同。Verilog中也有原语,但在综合时还得映射到器件的原语。如果把FPGA比作一座大厦,那么用户可将原语看成是砖头、石头、钢筋等基本的建筑原材料。

     

    参考文献:

    [1] xilinx原语的使用方法

    http://wenku.baidu.com/view/e3623a60caaedd3383c4d3e2.html

    [2] Xilinx原语的使用方法2

    http://wenku.baidu.com/view/e988c41fc5da50e2524d7fe5.html

    [3] 徐文波, 田耘. Xilinx FPGA实用教程(第二版). 清华大学出版社. P71~73.

  • 相关阅读:
    JavaScript HTML DOM
    JavaScript 对象与函数
    DVWA--Command Injection
    sqli-libs(2)
    python学习之路(17)
    sqli-labs(1)
    python学习之路(16)
    python学习之路(15)
    DVWA--Brute Force
    python学习之路(14)
  • 原文地址:https://www.cnblogs.com/dpc525/p/4631474.html
Copyright © 2011-2022 走看看