zoukankan      html  css  js  c++  java
  • 转载《Xilinx和Altera FPGA的基本逻辑单元对比 》

    致敬原作者:

    http://blog.sina.com.cn/s/blog_6276db0e0101ary8.html

    要比较Xilinx和Altera的FPGA,就要清楚两个大厂FPGA的结构,由于各自利益,两家的FPGA结构各不相同,参数也各不相同,但可以统一到LUT(Look-Up-Table)查找表上。

    以Altera的Cyclone II系列的EP2C35,以及Xilinx的Spartan-3E系列的XC3S500E为例。可以参考Datasheet。

    Cyclone II

    Spartan-3E

    其中Altera的LE和Xilnx的LC对应于LUT的结构。

    Altera

    从LE的结构可以知道 1 LE = 1 LUT

    Xilinx

    Logic Cell = 4-input Look-Up Table (LUT) + a ‘D’ flip-flop;

    Datasheet 上有公式:"Equivalent Logic Cells" equals "Total CLBs" x 8 Logic Cells/CLB x 1.125 effectiveness

    即有:9LEs/CLB ;

    下图是1 Slice的结构,从Slice的结构可以看到1 CLB =4 Slice=9 LC

    从而可以知道Xilinx和Altera FPGA逻辑资源的对应关系:

    为了统一度量衡(感觉像QSH一样),业界一般会归结到BLM(Basic Logic Module)

    1 BLM=1 LUT4(四输入查找表)+1 寄存器(可以配置成1 触发器DFF或 1 锁存器)+多路复用器mux

    1 BLM=1 LC(Xilinx)=4/9 Slice(Xilinx)= 1/9 CLB(Xilinx)=1 LE(Altera)

    =0.125 LAB(Altera)=2.25 Tile(Actel)

    于是就可以知道Altera的Cyclone II系列的EP2C35有33216个LUT,我用的是Xilinx的Spartan-3E系列的XC3S500E有9312个LUT ,加上EP2C35还有4个PLL,我的XC3S500E就更比不上了。

  • 相关阅读:
    day17 内置方法、数学模块、randrange随机模块、序列化模块pickle
    线性模型L2正则化——岭回归
    KMP算法
    KNN算法:KNN-classifier和KNN-regressor
    机器学习开篇——编译器的选择
    STL好坑
    树状数组学习笔记
    无题
    最小树形图:朱刘算法
    2019ICPC徐州站题解
  • 原文地址:https://www.cnblogs.com/dujianzw/p/5480821.html
Copyright © 2011-2022 走看看