zoukankan      html  css  js  c++  java
  • HDMI 基本知识

    1、HDMI基本概念

    高清晰度多媒体接口(英文:HighDefinition Multimedia Interface,HDMI)是一种数字化视频/音频接口技术,是适合影像传输的专用型数字化接口,其可同时传送音频和影像信号,最高数据传输速度为2.25GB/s,HDMI,英文全称是HighDefinition Multimedia Interface,中文名称是高清晰多媒体接口的缩写。HDMI能高品质地传输未经压缩的高清视频和多声道音频数据,最高数据传输速度为5Gbps。同时无需在信号传送前进行数/模或者模/数转换,可以保证最高质量的影音信号传送。

    HDMI的全称是“HighDefinition Multimedea Interface”,即高清晰度多媒体接口。2002年4月,来自电子电器行业的7家公司——日立、松下、飞利浦、SiliconImage、索尼、汤姆逊、东芝,共同组建了HDMI接口组织——HDMIFounders(HDMI论坛),开始着手制定一种符合高清时代标准的全新数字化视频/音频接口技术。HDMI技术是在DVI(DigitalVideo Interface)的基础上推出的,它克服了DVI的缺点,并有了很大的发展,满足了数字化时代传输高质量图形影像的要求。

    HDMI标准的发展历史:

        2002年12月9日,HDMI1.0版正式发布,标志着HDMI技术正式登上历史舞台。

        2004年1月,HDMI1.1版发布。

        2005年8月,HDMI1.2版发布。

        2005年12月,HDMI1.2a版发布。

        2006年6月,HDMI1.3版发布。

        2009年6月,HDMI1.4版发布。

        2010年3月,HDMI1.4a版发布,也是最新的一个版本。

    HDMI标准的主要特性和优势:

        1.更好的抗干扰性能,能实现最长20米的无增益传输。

        2.针对大尺寸数字平板电视分辨率进行优化,兼容性好。

        3.支持EDID和DDC2B标准,设备之间可以智能选择最佳匹配的连接方式。

        4.拥有强大的版权保护机制(HDCP),有效防止盗版现象。

        5.支持24bit色深处理(RGB、YCbCr4-4-4、YCbCr4-2-2)。

        6.完全兼容DVI接口标准。

        7.支持热插拔技术。

        8.一根线缆实现数字音频、视频信号同步传输,有效降低使用成本和繁杂
    程度。

        现在,HDMI正在成为高清时代普及率最高效率最高的数字接口。在任何一台PC和平板电视上,HDMI接口都成了标准化的配置。

    2、HDMI接口定义

    HDMI接口有四种:  A类(19脚),A类(HDMI转接口DVI-D  29脚)

                      B类(29脚),B类(HDMI转接口DVI-D  29脚)

    表为A类、B类HDMI连接器以及DVI/HDMI转换线缆的管脚引出线。

    2.1  A类HDMI连接器

    Pin

    Signal

    1

    TMDS Data2+

    2

    TMDS Data2 Shield

    3

    TMDS Data2

    4

    TMDS Data1+

    5

    TMDS Data1 Shield

    6

    TMDS Data1–

    7

    TMDS Data0+

    8

    TMDS Data0 Shield 

    9

    TMDS Data0–

    10

    TMDS Clock+

    11

    TMDS Clock Shield

    12

    TMDS Clock–

    13

    CEC

    14

    Reserved (N.C. on device)

    15

    SCL

    16

    SDA

    17

    DDC/CEC Ground

    18

    + 5V

    19

    Hot Plug Detect

    2.2  B类HDMI连接器

    Pin

    Signal

    1

    TMDS Data2+

    2

    TMDS Data2 Shield

    3

    TMDS Data2-

    4

    TMDS Data1+

    5

    TMDS Data1 Shield

    6

    TMDS Data1-

    7

    TMDS Data0+

    8

    TMDS Data0 Shield

    9

    TMDS Data0-

    10

    TMDS Clock+

    11

    TMDS Clock Shield

    12

    TMDS Clock-

    13

    TMDS Data5+

    14

    TMDS Data5 Shield

    15

    TMDS Data5-

    16

    TMDS Data4+

    17

    TMDS Data4 Shield

    18

    TMDS Data4-

    19

    TMDS Data3+

    20

    TMDS Data3 Shield

    21

    TMDS Data3-

    22

    CEC

    23

    Reserved (N.C. on device)

    24

    Reserved (N.C. on device)

    25

    SCL

    26

    SDA

    27

    DDC/CEC Ground

    28

    +5V

    29

    Hot Plug Detect

    2.3  A类HDMI接口转接DVI-D

    HDMI Pin

    Signal

    Wire

    DVI-D Pin

    1

    TMDS Data2+

    A

    2

    2

    TMDS Data2 Shield

    B

    3

    3

    TMDS Data2-

    A

    1

    4

    TMDS Data1+

    A

    10

    5

    TMDS Data1 Shield

    B

    11

    6

    TMDS Data1-

    A

    9

    7

    TMDS Data0+

    A

    18

    8

    TMDS Data0 Shield

    B

    19

    9

    TMDS Data0-

    A

    17

    10

    TMDS Clock+

    A

    23

    11

    TMDS Clock Shield

    B

    22

    12

    TMDS Clock-

    A

    24

    13

    CEC

    N.C.

    N.C.

    14

    Reserved

    N.C.

    N.C.

    15

    SCL

    C

    6

    16

    DDC

    C

    7

    17

    DDC/CEC Ground

    D

    15

    18

    +5V

    5V

    14

    19

    Hot Plug Detect

    C

    16

    20

    Not Connected

     

    4

    21

    Not Connected

     

    5

    22

    Not Connected

     

    12

    23

    Not Connected

     

    13

    24

    Not Connected

     

    20

    25

    Not Connected

     

    21

    26

    Not Connected

     

    8

    2.4  B类HDMI接口转接DVI-D

    HDMI Pin

    Signal

    Wire

    DVI-D Pin

    1

    TMDS Data2+

    A

    2

    2

    TMDS Data2 Shield

    B

    3

    3

    TMDS Data2-

    A

    1

    4

    TMDS Data1+

    A

    10

    5

    TMDS Data1 Shield

    B

    11

    6

    TMDS Data1-

    A

    9

    7

    TMDS Data0+

    A

    18

    8

    TMDS Data0 Shield

    B

    19

    9

    TMDS Data0-

    A

    17

    10

    TMDS Clock+

    A

    23

    11

    TMDS Clock Shield

    B

    22

    12

    TMDS Clock-

    A

    24

    13

    TMDS Data5+

    A

    21

    14

    TMDS Data5 Shield

    B

    19

    15

    TMDS Data5-

    A

    20

    16

    TMDS Data4+

    A

    5

    17

    TMDS Data4 Shield

    B

    3

    18

    TMDS Data4-

    A

    4

    19

    TMDS Data3+

    A

    13

    20

    TMDS Data3 Shield

    B

    11

    21

    TMDS Data3-

    A

    12

    22

    CEC

    N.C.

    N.C.

    23

    Reserved

    N.C.

    N.C.

    24

    Reserved

    N.C.

    N.C.

    25

    SCL

    C

    6

    26

    DDC

    C

    7

    27

    DDC/CEC Ground

    D

    15

    28

    +5V

    5V

    14

    29

    Hot Plug Detect

    C

    16

     

    Not Connected

    N.C.

    8

    2.5  主要引脚介绍

    2.5.1 英文介绍

     The HDMI Plug
    As illustrated inFigure below, an HDMI connector contains 19 pins, which include:

    TMDS data channels (6 pins): these carry the digital datarepresenting audio and video. Video data are always sent in an uncompressedformat and includes horizontal and vertical blanking intervals. Audio data,which can consist of any compressed,non-compressed, PCM, single or

    multi-channelformats (including the new DTS-HD Master Audio and Dolby TrueHD formats forblue laser DVDs), fit into the video blanking intervals and are sent as HDMIpackets.

    TMDS clock channel(2 pins): this is a reference clock signal that enables thereceiving device to robustly recover the data stream.


    Consumer Electronics Control (CEC) (1 pin): this is anoptional feature in HDMI devices. It is a dedicated control bus that enablesdevices to automatically control other attached devices. See detailed descriptionof CEC below.

    Display Data Channel(DDC) (1 pin): this is an I2C bus that is usedfor devices to convey their capability information to other devices, and isalso used for HDCP authentication and encryption.

    +5V power (1 pin): supplies low current, +5V DC power for the purpose of reading theEDID ROM contained in the display.

    Hot Plug Detect (1pin): a signal intended to convey to the source that a "hotplug" event has occurred (such as a cable that has been unplugged), whichtypically results in the source re-initializing the HDMI link.

    TMDS Shield Lines (4pins  designated in yellow): Each of the four TMDS channels iscarried in the cable with a shielded twisted pair of wires. The shields, usedto minimize cross-talk and EMI emissions, are attached to ground at both endsof the cable.

    CEC/DDC Ground (1pin): Used for the current return for all non-TMDS signals.

    2.5.2 中文介绍

    1: DDC_SCL和DDC_SDA用处:

    DDC(显示数据通道)主要用于HDMI源端设备(Source)与接收端设备(Sink)之间进行EDID数据及HDCP密钥的交流。通过EDID交流,源端设备可以了解到接收端设备音视频的接收能力;通过HDCPKey的交流,可以实时的进行数据流的内容保护认证,从而达到数据内容保护的目的。

    2:DDC的电路:

    DDC的电路方式与I2C电路相同,因此在DDC电路设计中,设计者要考虑到DDC线路的电平。按照HDMI1.3a规范,HDMI源端DDC的上拉电阻最小为1.5kΩ,考虑到HDMI认证中DDC电平的要求(在 4.5~5.5V之间),将DDC信号均通过10kΩ的电阻上拉到HDMI接口的第18引脚(HDMI源端5V电源)。经计算,源端与接收端的DDC总上拉电阻的最小值为R总上拉min=1.5kΩ‖10kΩ=1.3kΩ。经测试,接收端DDC的电平约为4.68V,因此满足HDMI认证要求;而DDC总上拉电阻的最小值1.3kΩ也满足I2C的规范。

    3: CEC: 消费类电子控制

    CEC(消费类电子控制)操作是HDMI接口的一个重要扩展功能,它采用“一线”(OneWire)通信方式,将HDMI的设备连通起来,使HDMI设备之间的操作简单化。即接收端有多个HDMI接口,将一台HDMI输出和所有HDMI输出必须连接在一起,其中一台设备断电时应该不影响其他设备的工作。如果接收端采用PS321(三选一HDMI开关)采用内置的EDID缓存区来配置,那么PS321的CEC操作设计主要是CEC物理地址的分配。具体见HDMISpecification13a

    4:HPD:Hotplug热插拔

    HPD(Hotplug热插拔)操作设计是HDMI接口软件设计的一个重要环节,它是由接收端设备(Sink)发出的,在与HDMI源端设备(Source)之间建立正式通信的前奏信号。HPD信号电平为高时表示接收端设备已经准备好了,允许源端设备访问接收端设备。

    在HPD操作的软件设计中,要考虑如下两个因素。

    一是HDMI源端设备输出的电源脚(HDMI接收端插座的第18引脚)的检测。如果HDMI接收端插座的第18引脚为低电平,表示HDMI源端设备未准备好,此时接收端设备应把HPD信号置低电平;如果HDMI接收端插座的第18引脚为高电平,表示HDMI源端设备已准备好,此时接收端设备根据自身的情况可以有选择的将HPD信号置高电平,以通知HDMI源端设备,表明接收端设备也准备好。

    二是源端与接收端通信失败下的模拟HPD操作。当HDMI源端与接收端的通信刚建立时可能出现HDCP-KEY读取失败或EDID数据读取错误的情况,造成HDMI工作不正常,此时为了恢复正常的通信,需要进行模拟的HPD操作,即由接收端设备将HPD信号从高电平拉为低电平,HPD低电平持续100ms左右,再拉回高电平,这样源端设备会检测HPD信号的跳变,重新发起读取操作,为建立正常通信做进一步的尝试。这种软件模拟HPD的操作可以在不需要人为热插拔操作的协助下以模拟硬插拔的方式来重建HDMI的正常通信,从而可以消除通信不稳定引起的故障。

    B类HDMI连接器

    2.5.3 其它介绍

    TMDS通道

    • 传送音频,视频,以及各种辅助数据
    • 信号编码方式:遵循DVI 1.0规格。Single-link (Type A HDMI) or dual-link (Type B HDMI).
    • 视频像素带宽:从25 MHz到340 MHz (Type A, HDMI 1.3) 或至 680 MHz (Type B). 带宽低于25MHz的视频信号如NTSC 480i将以倍频方式输出。每个像素的容许数据量从24位至48位。支持每秒120张画面 1080p分辨率画面传送以及WQSXGA分辨率 [1].
    • 像素编码方式:RGB 4:4:4, YCbCr 4:4:4 (8-16 bits per component); YCbCr 4:2:2 (12 bits per component)
    • 音频采样率:32 kHz, 44.1 kHz, 48 kHz, 88.2 kHz, 96 kHz, 176.4 kHz, 192 kHz.
    • 音频声道数量:最大8声道。
    • 音频流规格:IEC61937兼容流,包括高流量无损信号如Dolby TrueHD, DTS-HD Master Audio

    CEC通道

    • CEC全文为Consumer Electronics Control
    • 用来传送工业规格的AV Link协议信号,以便支持单一遥控器操作多台AV机器
    • 为单芯线双向串行总线

    DDC通道

    • DDC全文为Display Data Channel
    • 传送端与接收端可利用DDC通道得知彼此的传送与接收能力,但HDMI仅需单向获知接收端(显示器)的能力。
    • 使用100kHz时钟频率的I²C信号
    • 传送数据结构为VESA Enhanced EDID (V1.3).

    SerialClock(简称SCL)和SerialData(简称SDA)

    SCL,SDA:这两支脚位是用来让source(DVD) 和 display (TV)作沟通,在电视内部都有一个记忆体,内部存放了有关这台电视所支持的分辨率,例如:720P 或1080P,如果source(DVD)不知道 目前所连接的电视所支持的电视分辨率是多少时,source(DVD) 就不知道要放送出什么分辨率的讯号,因此在一开始source(DVD)会透过这两支脚位去读取电视所支持的分辨率,当source(DVD) 知道后source(DVD) 才放送出符合电视分辨率的影像画面。其中SCL是时钟(CLOCK)脚位,SDA是资料脚位

    CEC简化数字家庭的操作,一个遥控器可以控制所有支持HDMI的数字产品 现时在很多不同品牌上都可看到CEC的身影,只是每一制造商给它的名字有异。 如松下电器(Panasonic)的VIERA Link,三星电子(Samsung)的Anynet+,LG电子的SIMPLINK,索尼(Sony)的BRAVIA SYNC,索普(Sharp)的Fami Link等。功能上大致都是借着CEC信号让用者可控制HDMI接口上所连接的装置。如单键播放(One Touch Play),系统待机(System Standby)。 即是如果用者将影碟放进蓝光播放器时,电视会由于CEC信号的通知而自动开机,然后视频通道亦会自动切换到播放器连接的通道上。而当用者关掉电视时,CEC信号亦会通知HDMI相连接的装置一同进入待机。由于这样,所以就可以完全变成单一遥控器控制所有HDMI连接的装置。

    CEC简化数字家庭的操作,一个遥控器可以控制所有支持HDMI的数字产品

    现时在很多不同品牌上都可看到CEC的身影,只是每一制造商给它的名字有异。  如松下电器(Panasonic)的VIERA Link,三星电子(Samsung)的Anynet+,LG电子的SIMPLINK,索尼(Sony)的BRAVIA SYNC,索普(Sharp)的Fami Link等。功能上大致都是借着CEC信号让用者可控制HDMI接口上所连接的装置。如单键播放(One Touch Play),系统待机(System Standby)。 即是如果用者将影碟放进蓝光播放器时,电视会由于CEC信号的通知而自动开机,然后视频通道亦会自动切换到播放器连接的通道上。而当用者关掉电视时,CEC信号亦会通知HDMI相连接的装置一同进入待机。由于这样,所以就可以完全变成单一遥控器控制所有HDMI连接的装置。

    HDMI,英文全称是High DefinitionMultimedia Interface,中文名称是高清晰多媒体接口的缩写。2002年4月,日立、松下、飞利浦、索尼、汤姆逊、东芝和Silicon Image七家公司联合组成HDMI组织。HDMI能高品质地传输未经压缩的高清视频和多声道音频数据,最高数据传输速度为5Gbps。同时无需在信号传送前进行数/模或者模/数转换,可以保证最高质量的影音信号传送。

    HDMI不仅可以满足目前最高画质1080P的分辨率,还能支持DVD Audio等最先进的数字音频格式,支持八声道96kHz或立体声192kHz数码音频传送,而且只用一条HDMI线连接,免除数字音频接线。同时HDMI标准所具备的额外空间可以应用在日后升级的音视频格式中。足以应付一个1080p的视频和一个8声道的音频信号。而因为一个1080p的视频和一个8声道的音频信号需求少于4GB/s,因此HDMI还有很大余量。这允许它可以用一个电缆分别连接DVD播放器,接收器和PRR。此外HDMI支持EDID、DDC2B,因此具有HDMI的设备具有“即插即用”的特点,信号源和显示设备之间会自动进行“协商”,自动选择最合适的视频/音频格式。

    与DVI相比HDMI接口的体积更小,而且可同时传输音频及视频信号。DVI的线缆长度不能超过8米,否则将影响画面质量,而HDMI最远可传输15米。只要一条HDMI缆线,就可以取代最多13条模拟传输线,能有效解决家庭娱乐系统背后连线杂乱纠结的问题。

    优点:

    HDMI规格的接口在保持高品质的情况下能够以数码的形式传输未经压缩的高分辨率视频和多声道音频的数据。 其卓越性能超越了以往所有的产品。

    HDMI规格的连接器采用单线连接,取代了产品背后的复杂的线缆。

    采用HDMI规格接口的线缆没有长度的限制。比如:DVI的线缆长度不能超过8米,否则将影响画面质量,而符合HDMI规格的产品则没有这个问题。

    HDMI规格可搭配宽带数字内容保护(HDCP),以防止具有著作权的影音内容遭到未经授权的复制。

    2.5.4 HDMI的EDID

    博客:http://blog.sina.com.cn/s/blog_679686370100vj71.html

    EDID(Extended DisplayIdentification Data)扩展显示标识数据,是VESA组织制定的PC显示器的显示格式规范。EDID中包含有关显示器及其性能的参数,包括供应商信息、最大图像大小、颜色设置、厂商预设置、频率范围的限制以及显示器名和序列号的字符串。这些信息保存在显示器的EEPROM中,通过一个 DDC(Display Data Channel)与系统进行通信。这是在显示器和PC图形适配器之间进行的。EDID发展到现在已有很多版本,最新版本的EDID还可以在CRT、LCD以及将来的显示器类型中使用,这是因为EDID提供了几乎所有显示参数的通用描述。

    一个HDMI设备的EDID通常包含两个模块,第一个是EDID1.3 的数据模块,第二个是CEA861B模块。HDMI规范规定,EDID的第一个128Byte必须是符合EDID1.3的数据结构,第二个128Byte必须是符合EIA/CEA-861B 的CEAEDID时序扩展数据结构。

    一、E-EDID的数据结构

    下图是EDID1.3 数据结构及其字段详细说明:


    二、CEA-861B数据结构

    下面是CEA-861B数据结构及各字段详细说明:

    EDID是HDMI接口的一个重要组成部分。HDMI接口的发送端和接收端,通过EDID来协商双方传输的各项参数。比如,HDMI接口的发送端通过读取接收端的EDID数据,来判断接收端显示器的扫描方式(是1080P,1080i,还是480P,等)、显示器的信息、显示器可接收的信号的范围、显示器的接收端是否为HDMI设备,等等。

    HDMI以其优异的性能被广泛使用在高清图像传输中。 HDMI双方以什么方式传输图像,依赖于接收端EDID数据结构的内容。EDID数据决定了接收端显示设备的属性。发送端靠从接收设备读来的EDID判断监视器的属性,决定用什么方式传输图像。如果EDID设置不正确,系统就有可能不能正确识别HDMI设备,不能以高清格式传输图像。因此,EDID的设置至关重要。本文详细分析了EDID各字段的含义,正确设置EDID可以实现HDMI设备之间高清图像的传输。

    2.5.5 HDMI的TMDS

    博客:http://blog.sina.com.cn/s/blog_679686370100vj71.html

    HDMI采用和DVI相同的传输原理——TMDS(Transition Minimized Differential signal),最小化传输差分信号。TMDS支持高达225MHz的传输速率,一个传输链路能满足高达2048*1536分辨率的电视信号。

        TMDS传输系统分为分为两个部分:发送端和接收端。TMDS发送端收到HDMI接口传来的表示RGB信号的24位并行数据(TMDS对每个像素的RGB三原色分别按8bit编码,即R信号有8位,G信号有8位,B信号有8位),然后对这些数据进行编码和并/串转换,再将表示3个RGB信号的数据分别分配到独立的传输通道发送出去。接收端接收来自发送端的串行信号,对其进行解码和串/并转换,然后发送到显示器的控制端。与此同时也接收时钟信号,以实现同步。

    一、TMDS的原理

        每一个TMDS链路都包括3个传输RGB信号的数据通道和1个传输时钟信号的通道。每一个数据通道都通过编码算法,将8位的视、音频数据转换成最小化传输、直流平衡的10位数据。这使得数据的传输和恢复更加可靠。最小化传输差分信号是通过异或及异或非等逻辑算法将原始8位信号数据转换成10位,前8为数据由原始信号经运算后获得,第9位指示运算的方式,第10位用来对应直流平衡。

        一般来说,HDMI传输的编码格式中要包含视频数据、控制数据和数据包(数据包中包含音频数据和附加信息数据,例如纠错码等)。TMDS每个通道在传输时要包含一个2bit的控制数据、8bit的视频数据或者4bit的数据包即可。在HDMI信息传输过程中,可以分为三个阶段:视频数据传输周期、控制数据传输周期和数据岛传输周期,分别对应上述的三种数据类型。

        下面介绍TMDS中采用的技术:

    1.传输最小化

        8位数据经过编码和直流平衡得到10位最小化数据,这仿佛增加了冗余位,对传输链路的带宽要求更高,但事实上,通过这种算法得到的10位数据在更长的同轴电缆中传输的可靠性增强了。

        下图是一个例子,说明对一个8位的并行RED数据编码、并/串转换。

        第一步:将8位并行RED数据发送到TMDS发送端。

        第二步:并/串转换.

        第三步:进行最小化传输处理,加上第9位,即编码过程。第9位数据称为编码位。

    2.直流平衡

        直流平衡(DC-balanced)就是指在编码过程中保证信道中直流偏移为零。方法是在原来的9位数据的后面加上第10位数据,这样,传输的数据趋于直流平衡,使信号对传输线的电磁干扰减少,提高信号传输的可靠性。

    3.差分信号

        TMDS差分传动技术是一种利用2个引脚间电压差来传送信号的技术。传输数据的数值(“0”或者“1”)由两脚间电压正负极性和大小决定。即,采用2根线来传输信号,一根线上传输原来的信号,另一根线上传输与原来信号相反的信号。这样接收端就可以通过让一根线上的信号减去另一根线上的信号的方式来屏蔽电磁干扰,从而得到正确的信号。

    如下图所示:

        另外,还有一个显示数据通道(DDC),是用于读取表示接收端显示器的清晰度等显示能力的扩展显示标识数据(EDID)的信号线。搭载HDCP(High-bandwidth Digital Content Protection,高带宽数字内容保护技术)的发送、接收设备之间也利用DDC线进行密码键的认证。

    二、HDMI的带宽和TMDS的关系

        HDMI电路中的时钟频率在最初制定时范围在25MHz-165MHz之间,也就是说一个TMDS通道每秒最多能传输165MHz×10bit=1.65Gbit的数据,3个TMDS通道一秒就可以传输1.65×3=4.95Gbit的数据,再加上控制数据,用标准方法表示就是4.96Gbps的带宽。而如果用像素点来表示,那就是一秒可以传输显示1.65G个像素点(一个完整的像素点信息由R/G/B三原色信息构成)所需要的数据量。而HDTV最高标准:像素位1920*1080,逐行扫描,帧频为30,假设每帧扫描两次,在1秒内的图像数据量为1920×1080×30×2=1.25G像素点。另外还有音频数据和其他数据。由此可见,一个TMDS链路可以传输一个高清节目。

    2.5.6 HDMI的HDCP

    博客:http://blog.sina.com.cn/s/blog_679686370100vj71.html

        HDCP(High-bandwidthDigital Content Protection),即高带宽数字内容保护技术。HDTV时代已经来临,为了适应高清电视的高带宽,出现了HDMI。HDMI是一种高清数字接口标准,它可以提供很高的带宽,无损地传输数字视频和音频信号。为了保证HDMI或者DVI传输的高清晰信号不会被非法录制,就出现了HDCP技术。HDCP技术规范由Intel领头完成,当用户对高清晰信号进行非法复制时,该技术会进行干扰,降低复制出来的影像的质量,从而对内容进行保护。

        HDCP的保护原理:

        HDCP技术在影音内容的整个传送过程中都实施了保护。例如在电脑平台上,受到HDCP技术保护的影音内容在输出时,微软操作系统中的COPP(CertifiedOutput Protection Protocol,认证输出保护协议)驱动首先会验证显卡,只有支持HDCP的显卡才能实现内容的输出;随后操作系统会认证显示设备的密钥,只有符合HDCP要求的设备才能最终显示显卡传送来的影音内容。

        在整个传输过程中,发送端和接收端都存储了一个可用密钥集,这些密钥都被秘密地存储起来,发送端和接收端根据密钥进行加密解密运算。在加解密运算中还要加入一个特别的值——KSV(KeySelection Vector,密匙选择矢量)。支持HDCP的每个设备都会有一个唯一的KSV序列号,发送端和接收端的密码处理单元会核对对方的KSV值,以确定连接是合法的。其详细过程为:发送端会由自己的密码引擎产生一个64bit的伪随机序列An,并将其和自己的KSV同时发送给接收端。接收端会随后反馈回自己的KSV和Repeater位。两个KSV必须符合正确的格式,都由20个0和20个1组成。发送端和接收端的密码处理单元会独立地计算出各自的R0、Mo和Ks的值,然后将两个R0值进行比较,只有在两者完全吻合的情况下才会通过认证。

        在加密过程中,HDCP会对每个像素进行处理,使画面变得毫无规律、无法识别。而只有相互认证同步(?)后的发送端和接收端才能进行解密运算,还原数据。关于HDCP采用的加密方式没有找到资料以供研究。

        在解密过程中,HDCP系统会每2秒钟进行一次连接确认;同时每128帧画面进行一次发送端和接收端的同步识别(?),以确保连接同步。

        另外,为了应对密钥泄漏的情况,HDCP特别建立了密钥撤销机制。每个支持HDCP的设备的密钥集KSV都是唯一的,HDCP系统会将收到的KSV与撤销列表中的KSV进行比较和查找,如果接收到的KSV出现在撤销列表中,则表明这个KSV是非法的,认证过程会失败。这个撤销密匙列表包含在HDCP对应的多媒体数据中,并且能自动更新。

        总结:

        HDCP协议是用来防止视频内容在传输的过程被完整的复制下来。这种技术不是让数字信号无法被非法录制,而是将数字信号进行加密,让非法录制无法达到原有的高分辨率画质。例如蓝光影碟机在播放高清碟片时无法同时录下清晰的节目,在计算机上播放碟片时无法清晰地录制显示器上的节目。HDCP从始到终都保护视频信号,也就是说整套播放系统中每一个环节都必须支持HDCP协议。如果显示器不支持HDCP协议,那么就无法正常播放高清节目,只能看到黑屏或者低画质的节目。要支持HDCP协议,就必须使用DVI、HDMI等数字视频接口,传统的VGA等模拟信号接口无法支持HDCP协议。当使用VGA等模拟信号接口时,画面就会下降成为低画质,或者提示无法播放,从而失去高清的意义。需要说明的是,HDMI接口内嵌了HDCP协议,带有HDMI接口的显示器都支持HDCP协议。但是带DVI接口的液晶显示器并非都支持HDCP协议,必须经过带有相应硬件芯片通过认证的显示器才行。

        不过,我也发现网上有人撰文称,他进行了一系列测试,结果表明:播放HDCP加密的高清视频时,显卡必须支持HDCP协议,与显示器是否支持HDCP协议则毫无关系。他称这是“LCD史上的最大骗局”。

    2.5.7 HDMI支持的视频显示格式

    博客:http://blog.sina.com.cn/s/blog_679686370100vj71.html

    首先计算一下HDMI接口提供的数据率:

          HDMI1.3版本以前,时钟为165MHz,支持24色深,3个数据通道1个时钟通道,每通道传输10bit(8位颜色数据、2位控制数据)。则一个HDMI连接的数据率是:165MHz×10bit×3=4950Mbit=4.95Gbps(令进制约等于1000),此外再加上控制数据,数据率可以达到4.96Gbps。如果用像素点来表示的话,1秒钟可以传输165M(1个像素由R、G、B三原色信息构成)个像素所需要的数据量。

          从HDMI1.3版本开始,时钟提高到340MHz,支持24bit/30bit/36bit/48bit的色深。假设采用24bit色深,每通道传输10bit。则一个HDMI连接的数据率为340MHz×10bit×3=10200Mbps=10.2Gps。如果采用30bit色深,则数据率为12.24Gbps。如果采用36bit色深,则数据率为14.28Gbps。如果采用48bit色深,则数据率为18.36Gbps。一般情况下,30bit色深已经达到人类眼睛所能分辨的色彩的极限,36bit/48bit色深只是具有象征意义。不过需要注意的是,不同比特的色深只是通过增加传输的数据量来提供更加丰富的色彩,如果用像素点来表示的话,仍然是1秒钟传输340M个像素所需的数据量。

        HDMI的显示格式:

        在HDTV中规定了三种标准:720P、1080i和1080P,帧(场)频为60Hz。这里以1080P/60Hz为例。每帧像素数为1920×1080=2073600(约为2.074M)个。刷新率为60Hz,则每秒需要信息的像素次数为2073600×60=124416000(约为124.42M)个,需要的数据量为124416000×10bit×3=3732480000(约为3.74Gbit)。由前面的计算可知,HDMI最初标准的数据率可以达到4.95Gbps,所以用起来绰绰有余

        对于3D-TV,数据率要加倍,理论上需要达到至少3732480000×2=7464960000bit(约为7.46Gbps)。所以数据率最低为10.2Gbps的HDMI1.3版本可以支持3D。新面世的HDMI1.4a中提出了支持3D的方案。

    更多关于HDMI的数据率和3D的信息

  • 相关阅读:
    GET和POST区别
    es索引介绍
    前端 用法记录
    axios 使用
    react技巧 学习
    vuex 学习笔记
    fetch 学习笔记
    react-router 4.0 学习笔记
    react 学习笔记2
    react 学习笔记
  • 原文地址:https://www.cnblogs.com/fire909090/p/12419296.html
Copyright © 2011-2022 走看看