zoukankan      html  css  js  c++  java
  • 外设驱动库开发笔记20:BME280压力湿度温度传感器驱动

      嵌入式产品开发中,我们常常会有检测环境温度、压力、湿度的需求。如果有一个集成有这3个传感器的元件,无疑将是很方便的。博世的BME280就能实现这一要求。在这一篇中我们将讨论BME280的驱动设计与实现。

    1、功能概述

      BME280是一款专为移动应用而开发的集成环境传感器,其尺寸和低功耗是关键设计限制。该装置结合了单个高线性度,高精度压力,湿度和温度传感器,采用8引脚金属盖2.5 x 2.5 x0.93mm³LGA封装,设计用于低电流消耗(3.6μA@ 1Hz),长期稳定性高EMC稳健性。

    1.1、硬件描述

      BME280压力湿度温度传感器实现了高性能的温度压力湿度检测,包括有压力、温度、湿度3个传感器和一个高精度ADC及接口逻辑,其结构框图如下图所示:

      

      湿度传感器具有极快的响应时间,可满足新兴应用的性能要求,例如环境感知和宽温度范围内的高精度。压力传感器是绝对气压传感器,具有极高的精度和分辨率,噪音极低。集成的温度传感器经过优化,噪音极低,分辨率高。它主要用于压力和湿度传感器的温度补偿,也可用于估算环境温度。

      BME280压力温度传感器采用了小巧的8引脚LGA封装形式。其引脚排布就功能如下图所示:

     

      BME280支持全套操作模式,可灵活地优化器件的功耗,分辨率和滤波器性能。

    1.2、通讯接口

      BME280压力温度传感器支持3种通讯接口方式:四线SPI、三线SPI以及I2C。在不同的接口模式下,各引脚的定义也是有差异的,关于这三种接口模式各引脚的定义如下:

     

      对应3种不同的接口方式,BME280压力温度传感器存在三种与总线连接的方式。首先我们来看四线SPI接口方式,包括CSB片选、SCK时钟、SDI数字输入、SDO数字输出。其总线连接方式如下图:

     

      接下来我们来看三线SPI接口方式,包括CSB片选、SCK时钟、SDI数字输入/SDO数字输出。其与4线SPI的区别是数字输入输出使用同一引脚,第3脚就是输入也是输出,而第5脚浮空。其总线连接方式如下图:

     

      最后我们来看I2C接口方式,包括SCL时钟、SDA数字输入输出。在I2C接口模式下,第2脚CSB连接到高电平,以设置BME280压力温度传感器使用I2C接口。而第5脚则可以通过连接高电平或低电平来设置设备地址的最后一位,不可以浮空。所以根据第5脚电频不同,BMP280压力温度传感器的I2C设备7位地址为:0x76和0x77。其总线连接方式如下图:

     

      BME280压力温度传感器在使用SPI接口时,支持SPI模式0(CPOL=CPHA=0)和模式3(CPOL=CPHA=1)。而在使用I2C接口时,支持标准模式、快速模式以及高速模式。接口的选择实际上是通过CSB的电位实现的,低电平时就是SPI,高电平时就是I2C。

    1.3、内部寄存器

      对BME280压力温度传感器的所有操作都是通过读写对应的寄存器来实现的。BME280压力温度传感器中所有的寄存器都是8位的。这些寄存器在存储器中的地址分配如下图所示。

     

      在上图并未包括系统保留的寄存器,这些寄存器不可以进行写操作,读出来的值也是无意义的。接下来我们来详细描述上图中的这些寄存器。

      先来看看两个比较特殊的寄存器。首先是ID寄存器,这个寄存器是只读的,而且其存储的值也固定为0x60,用来代表设备为BME280压力温度传感器。这个寄存器在系统上电后即可读取。还有复位寄存器,这个寄存器是只写的,固定向其写0xB6来实现BME280压力温度传感器的复位。同样只要系统上电后即可以写复位寄存器。

      湿度控制寄存器用以配置湿度数据的测量精度。但是修改这个寄存器的值不会立即生效,必须对测量控制寄存器做了写操作之后才会有效。湿度控制寄存器的各位定义如下图:

     

      状态寄存器是只读的,其实只使用了其中的两位,这两位分别表示数据测量是否完成和影响寄存器是否更新。下图是状态寄存器的详细说明:

     

      测量控制寄存器是可读写的,用以配置BME280压力温度传感器数据获取的方式。分别配置温度采样、压力采样和工作模式。工作模式有三种:休眠模式、强制模式、正常模式。系统上电后即为休眠模式,通过这一寄存器的配置可以使BME280压力温度传感器进入强制模式或正常模式运行。湿度控制器修改后必须写这个寄存器才会生效。测量控制寄存器的各位定义如下图:

     

      配置寄存器用于设置BME280压力温度湿度传感器的速率、过滤器以及接口模式。在休眠模式下写配置寄存器是允许的,但在正常模式下会被忽略,所以在系统复位后,进入正常模式前先写配置寄存器。配置寄存器各位的定义如下图所示:

     

      压力数据寄存器存储有压力测量数据输出的原始值。使用了三个寄存器中的20位来下存储压力数据。压力数据寄存器各位的定义如下图所示:

     

      温度数据寄存器存储有温度测量数据输出的原始值。使用了三个寄存器中的20位来下存储温度数据。温度数据寄存器各位的定义如下图所示:

     

      湿度数据寄存器存储有湿度测量数据输出的原始值。使用了两个寄存器中的16位来下存储湿度数据。湿度数据寄存器各位的定义如下图所示:

     

      此外还有校准数据寄存器,总共是41个寄存器,存储了计算压力温度最终值的厂家校准数据。这些校准寄存器的定义及地址分配如下图所示:

     

      我们已经说过面向BME280压力温度湿度传感器的所有操作都是基于寄存器进行的,我们已经了解了BME280压力温度湿度传感器的各个寄存器,现在可以来实现它的操作了。

    2、驱动设计与实现

      上一节我们描述了BME280压力湿度温度传感器的引脚功能、数据存储格式以及通讯接口的基本内容。在这一节中我们将考虑如何设计并实现BME280压力湿度温度传感器的驱动程序。

    2.1、对象定义

      在使用一个对象之前我们需要获得一个对象。同样的我们想要BME280压力湿度温度传感器就需要先定义BME280压力湿度温度传感器的对象。

    2.1.1、对象的抽象

      我们要得到BME280压力湿度温度传感器对象,需要先分析其基本特性。一般来说,一个对象至少包含两方面的特性:属性与操作。接下来我们就来从这两个方面思考一下BME280压力湿度温度传感器的对象。

      先来考虑属性,作为属性肯定是用于标识或记录对象特征的东西。我们来考虑BME280压力湿度温度传感器对象属性。BME280压力湿度温度传感器的ID寄存器用于标识设备是否为BME280;配置寄存器和测量控制寄存器都用关于系统配置,指示了设备的工作状态,所以我们将这几个寄存器定义为对象的属性。而使用的通讯接口决定了访问BME280压力湿度温度传感器的行为,所以我们需要记住这一配置;而校准数据则在计算数据时所要使用的,我们也需要记住这些参数,所以我们将它们也都定义为属性。在I2C接口模式时,设备地址是区分总线上设备的唯一标志,所以我们将其定义为属性。同样测量数据指示了设备当前的工作状态,我们将器作为属性。

      接着我们还需要考虑BME280压力湿度温度传感器对象的操作问题。我们需要与BME280压力湿度温度传感器通讯就需要向其写数据并从其读数据,而不论是SPI接口还是I2C接口,读写操作都以来与具体的硬件平台,所以我们将他们作为对象的操作。此外,为控制时序,我们需要延时操作,而延时行为的实现亦依赖于具体的软硬件平台,所以我们将延时也作为对象的操作。

      根据上述我们对BME280压力湿度温度传感器的分析,我们可以定义BME280压力湿度温度传感器的对象类型如下:

     1 /*定义Bme280操作对象*/
     2 typedef struct BME280Object{
     3 uint8_t chipID;                                //芯片ID
     4 uint8_t bmeAddress;                              //I2C通讯时的设备地址
     5 uint8_t config;                                       //配置寄存器
     6 uint8_t ctrlMeas;                                   //测量控制寄存器
     7 uint8_t ctrlHumi;                                   //湿度测量控制寄存器
     8 BME280PortType port;                         //接口选择
     9 BME280CalibParamType caliPara;      //校准参数
    10 float temperature;                                  //温度值
    11 float pressure;                                 //压力值
    12 float humidity;                                //湿度值
    13 void (*Read)(struct BME280Object *bme,uint8_t regAddress,uint8_t *rData,uint16_t rSize);       //读数据操作指针
    14 void (*Write)(struct BME280Object *bme,uint8_t regAddress,uint8_t command);    //写数据操作指针
    15 void (*Delayms)(volatile uint32_t nTime);       //延时操作指针
    16 void (*ChipSelect)(BME280CSType cs);                  //使用SPI接口时,片选操作
    17 }BME280ObjectType;

    2.1.2、对象初始化

      我们知道,一个对象仅作声明是不能使用的,我们需要先对其进行初始化,所以这里我们来考虑BME280压力湿度温度传感器对象的初始化函数。一般来说,初始化函数需要处理几个方面的问题。一是检查输入参数是否合理;二是为对象的属性赋初值;三是对对象作必要的初始化配置。据此我们设计BME280压力湿度温度传感器对象的初始化函数如下:

      1 /*实现BME280初始化配置*/
      2 void BME280Initialization(BME280ObjectType *bme,         //BMP280对象
      3                           uint8_t bmeAddress,                       //I2C接口是设备地址
      4                           BME280PortType port,                  //接口选择
      5                           BME280TimeSBType t_sb,           //间隔周期
      6                           BME280IIRFilterType filter,         //过滤器
      7                           BME280SPI3wUseType spi3W_en,  //3线SPI控制
      8                           BME280TempSampleType osrs_t,       //温度精度
      9                           BME280PresSampleType osrs_p,         //压力精度
     10                           BME280PowerModeType mode,          //电源模式
     11                           BME280HumiSampleType osrs_h,       //湿度精度
     12                           BME280Read Read,                              //读数据操作指针
     13                           BME280Write Write,                            //写数据操作指针
     14                           BME280Delayms Delayms,                  //延时操作指针
     15                           BME280ChipSelect ChipSelect            //片选操作指针
     16                           )
     17 {
     18   uint8_t try_count = 5;
     19   uint8_t regAddress=0;
     20   uint8_t command=0;
     21  
     22   if((bme==NULL)||(Read==NULL)||(Write==NULL)||(Delayms==NULL))
     23        {
     24               return;
     25        }
     26        bme->Read=Read;
     27        bme->Write=Write;
     28        bme->Delayms=Delayms;
     29       
     30        bme->chipID=0x00;
     31        bme->pressure=0.0;
     32        bme->temperature=0.0;
     33        bme->humidity=0.0;
     34        bme->bmeAddress=0x00;
     35        bme->port=port;
     36        if(bme->port==BME280_I2C)
     37        {
     38               if((bmeAddress==0xEC)||(bmeAddress==0xEE))
     39               {
     40                      bme->bmeAddress=bmeAddress;
     41               }
     42               bme->ChipSelect=NULL;
     43        }
     44        else
     45        {
     46               if(ChipSelect!=NULL)
     47               {
     48                      bme->ChipSelect=ChipSelect;
     49               }
     50               else
     51               {
     52                      bme->ChipSelect=BME280ChipSelectDefault;
     53               }
     54        }
     55      
     56        bme->caliPara.t_fine=0;
     57              
     58   if(!ObjectIsValid(bme))
     59   {
     60     return;
     61   }
     62     
     63   while(try_count--)
     64   {
     65     bme->chipID=ReadBME280Register(bme,REG_BME280_ID);
     66     if(0x60==bme->chipID)
     67     {
     68       BME280SoftReset(bme);
     69       
     70       break;
     71     }
     72   }
     73  
     74   if(try_count)
     75   {
     76     /*配置配置寄存器:间隔周期0.5ms、IIR滤波系数16、不使用SPI3线通讯*/
     77     regAddress=REG_CONFIG;
     78     command=t_sb|filter|spi3W_en;
     79     WriteBME280Register(bme,regAddress,command);
     80  
     81     /*配置测量控制寄存器:温度20位,压力20位,电源正常模式*/
     82     regAddress=REG_CTRL_MEAS;
     83     command=osrs_t|osrs_p|mode;
     84     WriteBME280Register(bme,regAddress,command);
     85  
     86     /*配置湿度测量控制寄存器*/
     87     regAddress=REG_CTRL_HUM;
     88     command=osrs_h;
     89     WriteBME280Register(bme,regAddress,command);
     90    
     91     bme->Delayms(10);
     92     bme->config=ReadBME280Register(bme,REG_CONFIG);
     93     bme->Delayms(10);
     94     bme->ctrlMeas=ReadBME280Register(bme,REG_CTRL_MEAS);
     95     bme->Delayms(10);
     96     bme->ctrlHumi=ReadBME280Register(bme,REG_CTRL_HUM);
     97     bme->Delayms(10);
     98              
     99     /*读取校准值*/
    100     GetBME280CalibrationData(bme);
    101   }
    102 }

    2.2、对象操作

      我们已经完成了BME280压力湿度温度传感器对象类型的定义和对象初始化函数的设计。但我们的主要目标是获取对象的信息,接下来我们还要实现面向BME280压力湿度温度传感器的各类操作。

    2.2.1、写寄存器

      我们已经说过了,对BME280的操作都是通过读写寄存器实现的。这里我们先来看写寄存器。在I2C接口方式下,写寄存器操作是在从站地址的最后一位来识别的,再加上要写的寄存器地址和数据来实现的,这也是I2C协议的标准做法。其时序图如下所示:

     

      而在SPI接口方式下,由于SPI并未有设备地址,也不存在用从还在那地址最后为来标记读写的模式。通常一些设备需要定义操作码来实现读写区分,但BME280采取了将寄存器地址的最高位置零表示为写。之所以可以这样定义,是因为BME280寄存器地址分配的特殊性决定的。改变寄存器地址的最高位也能区分不同的寄存器,绝不会重复。在SPI接口方式下,写寄存器的时序图如下所示:

     

      根据上述描述和时序图,我们可以实现写BME280压力湿度温度传感器寄存器的程序。

     1 /* 向BME280寄存器写一个字节 */
     2 static void WriteBME280Register(BME280ObjectType *bme,uint8_t regAddress,uint8_t command)
     3 {
     4        if(ObjectIsValid(bme))
     5        {
     6               if(bme->port==BME280_SPI)
     7               {
     8                      regAddress&=0x7F;
     9                      bme->ChipSelect(BME280CS_ENABLE);
    10                      bme->Delayms(1);
    11                      bme->Write(bme,regAddress,command);
    12                      bme->Delayms(1);
    13                      bme->ChipSelect(BME280CS_DISABLE);
    14               }
    15               else
    16               {
    17                      bme->Write(bme,regAddress,command);
    18               }
    19        }
    20 }

    2.2.2、读寄存器

      读寄存器的处理方式与写寄存器是类似。在I2C接口方式下,将从站地址的最低位置1来表示读。在I2C接口方式下,读寄存器的时序图如下所示:

     

      而在SPI接口方式下,通过将寄存器地址的最高位置1来标识为读操作。事实上,所有寄存器地址的最高为都是1,所以在读操作时实际不需要做处理。在SPI接口方式下,读寄存器的时序图如下所示:

     

      根据上述描述和时序图,我们可以实现读BME280压力温度湿度传感器寄存器的程序。

     1 /*从BMP280寄存器读取一个字节*/
     2 static uint8_t ReadBME280Register(BME280ObjectType *bme,uint8_t regAddress)
     3 {
     4   uint8_t regValue=0xFF;
     5  
     6   if(ObjectIsValid(bme))
     7   {
     8               if(bme->port==BME280_SPI)
     9               {
    10                      regAddress |= 0x80;
    11                      bme->ChipSelect(BME280CS_ENABLE);
    12                      bme->Delayms(1);
    13                      bme->Read(bme,regAddress,&regValue,1);
    14                      bme->Delayms(1);
    15                      bme->ChipSelect(BME280CS_DISABLE);
    16               }
    17               else
    18               {
    19                      bme->Read(bme,regAddress,&regValue,1);
    20               }
    21   }
    22  
    23   return regValue;
    24 }

    3、驱动的使用

      我们描述了BME280压力湿度温度传感器的基本原理并实现了驱动程序。我们还需要设计一个简单的应用来验证这一驱动设计是否合乎我们的要求,所以接下来我们就来设计一个简单的验证应用。

    3.1、声明并初始化对象

      使用基于对象的操作我们需要先得到这个对象,所以我们先要使用前面定义的BME280压力湿度温度传感器对象类型声明一个BME280压力湿度温度传感器对象变量,具体操作格式如下:

      BME280ObjectType bme280;

      声明了这个对象变量并不能立即使用,我们还需要使用驱动中定义的初始化函数对这个变量进行初始化。这个初始化函数所需要的输入参数如下:

      BME280ObjectType *bme,BMP280对象

      uint8_t bmeAddress,I2C接口是设备地址

      BME280PortType port,接口选择

      BME280TimeSBType t_sb,间隔周期

      BME280IIRFilterType filter,过滤器

      BME280SPI3wUseType spi3W_en,3线SPI控制

      BME280TempSampleType osrs_t,温度精度

      BME280PresSampleType osrs_p,压力精度

      BME280PowerModeType mode,电源模式

      BME280HumiSampleType osrs_h,湿度精度

      BME280Read Read,读数据操作指针

      BME280Write Write,写数据操作指针

      BME280Delayms Delayms,延时操作指针

      BME280ChipSelect ChipSelect,片选操作指针

      对于这些参数,对象变量我们已经定义了。接口选择、间隔周期、过滤器、3线SPI控制、温度精度、压力精度、湿度精度、电源模式等都是枚举量我们根据实际情况输入即可。而使用I2C接口时需要的设备地址,也按具体地址给入就好。主要的是我们需要定义几个函数,并将函数指针作为参数。这几个函数的类型如下:

     1 /* 定义读数据操作函数指针类型 */
     2 typedef void (*BME280Read)(struct BME280Object *bme,uint8_t regAddress,uint8_t *rData,uint16_t rSize);
     3 
     4 /* 定义写数据操作函数指针类型 */
     5 typedef void (*BME280Write)(struct BME280Object *bme,uint8_t regAddress,uint8_t command);
     6 
     7 /* 定义延时操作函数指针类型 */
     8 typedef  void (*BME280Delayms)(volatile uint32_t nTime);
     9 
    10 /* 定义使用SPI接口时,片选操作函数指针类型 */
    11 typedef  void (*BME280ChipSelect)(BME280CSType cs);

      对于这几个函数我们根据样式定义就可以了,具体的操作可能与使用的硬件平台有关系。若采用的SPI接口则需注意片选操作,片选操作函数用于多设备需要软件操作时,如采用硬件片选可以传入NULL即可。同样如果采用的是I2C接口,则片选可以传入NULL即可。具体函数定义如下:

     1 /*读BME280寄存器值*/
     2 static void ReadDataFromBME280(BME280ObjectType *bme280,uint8_t regAddress,uint8_t *rData,uint16_t rSize)
     3 {
     4   HAL_I2C_Master_Transmit(&bme280hi2c, bme280->bmeAddress,&regAddress,1,1000);
     5  
     6   HAL_I2C_Master_Receive(&bme280hi2c, bme280->bmeAddress+1,rData, rSize, 1000);
     7 }
     8  
     9 /*写BME280寄存器值*/
    10 static void WriteDataToBME280(BME280ObjectType *bme280,uint8_t regAddress,uint8_t command)
    11 {
    12   uint8_t pData[2];
    13  
    14   pData[0]=regAddress;
    15   pData[1]=command;
    16  
    17   HAL_I2C_Master_Transmit(&bme280hi2c,bme280->bmeAddress, pData, 2,1000);
    18 }

      对于延时函数我们可以采用各种方法实现。我们采用的STM32平台和HAL库则可以直接使用HAL_Delay()函数。于是我们可以调用初始化函数如下:

     1 BME280Initialization(&bme280,               //BME280对象
     2                      0xEC,                  //I2C接口是设备地址
     3                      BME280_I2C,            //接口选择
     4                      BME280_T_SB_0P5,       //间隔周期
     5                      BME280_IIR_FILTER_COEFF_X16,    //过滤器
     6                      BME280_SPI3W_DISABLE,      //3线SPI控制
     7                      BME280_TEMP_SAMPLE_X16,    //温度精度
     8                      BME280_PRES_SAMPLE_X16,    //压力精度
     9                      BME280_POWER_NORMAL_MODE,  //电源模式
    10                      BME280_HUMI_SAMPLE_X16,    //湿度精度
    11                      ReadDataFromBME280,        //读数据操作指针
    12                      WriteDataToBME280,         //写数据操作指针
    13                      HAL_Delay,                 //延时操作指针
    14                      NULL                       //片选操作指针
    15                      );

    3.2、基于对象进行操作

      我们定义了对象变量并使用初始化函数给其作了初始化。接着我们就来考虑操作这一对象获取我们想要的数据。我们在驱动中已经将获取数据并转换为转换值的比例值,接下来我们使用这一驱动开发我们的应用实例。

     1 /*获取大气压力和温度*/
     2 void BME280GetEnvironmentalData(void)
     3 {
     4        float pressure;                   //压力值
     5        float temperature;      //温度值
     6        float humidity;                  //湿度值
     7       
     8        GetBME280Measure(&bme280);
     9  
    10        pressure=bme280.pressure;
    11        temperature=bme280.temperature;
    12        humidity=bme280.humidity;
    13 }

    4、应用总结

      BME280压力湿度温度传感器的驱动已经实现并做了简单的应用。在我们测试时,得到的数据与其它方法获得的温度压力数据基本是一致的,这说明我们的驱动程序总体来说是正确的。

      BME280压力湿度温度传感器支持SPI和I2C两种接口,而且SPI也支持3线和4线模式,但我们在测试应用中只使用了I2C接口,SPI接口还有待测试。

      在使用驱动时需注意,采用SPI接口的器件需要考虑片选操作的问题。如果片选信号是通过硬件电路来实现的,我们在初始化时给其传递NULL值。如果是软件操作片选则传递我们编写的片选操作函数。而如果采用I2C接口,那么在初始化时也应传递NULL值。

      BME280压力湿度温度传感器在使用SPI接口时,支持SPI模式0(CPOL=CPHA=0)和模式3(CPOL=CPHA=1)。而在使用I2C接口时,支持标准模式、快速模式以及高速模式。而且在使用I2C接口时,SDO引脚必须接高电平或低电平,以确定设备地址。

      源码下载:https://github.com/foxclever/ExPeriphDriver

    欢迎关注:

  • 相关阅读:
    Pyspark 提交任务遇到的问题
    Python的 figure参数和 subplot子图绘制
    Python的 plot函数和绘图参数设置
    Python的散点图绘制 scatter
    Python的random操作
    python的浅复制与深复制
    Python的itertools.product 方法
    python的关联图绘制 --- pyecharts
    DVWA——Brute Force暴力破解
    DVWA——简介
  • 原文地址:https://www.cnblogs.com/foxclever/p/14130313.html
Copyright © 2011-2022 走看看