zoukankan      html  css  js  c++  java
  • VIVADO生成MCS

    tcl console里面执行 

    write_cfgmem -format mcs -interface spix4 -size 128 -loadbit "up 0 E:/x.bit" -file  x.mcs 

    Ffor CFGBVS and CONFIG_VOLTAGE  as:

    set_property CFGBVS Vcco [current_design]

    set_property config_voltage 2.5 [current_design]

    The options for CFGBVS are VCCO or GND. 

    The options for config_voltage are 1.8/2.4/3.3

    1.SPI flash约束
    这里spi flash约束是针对博兰锐思主板M7的N25Q128A13BSF40E SPI flash进行的约束

    set_property CFGBVS VCCO [current_design]
    set_property CONFIG_VOLTAGE 3.3 [current_design]
    set_property BITSTREAM.GENERAL.COMPRESS true [current_design]
    set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]
    set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
    set_property BITSTREAM.CONFIG.SPI_FALL_EDGE Yes [current_design]

    2.BPI flash

    这里bpi flash 是针对VC707的 PC28F00AG18FE BPI flash进行的约束

    set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]
    set_property BITSTREAM.CONFIG.BPI_SYNC_MODE Type1 [current_design]
    set_property BITSTREAM.CONFIG.EXTMASTERCCLK_EN div-1 [current_design]
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property BITSTREAM.CONFIG.UNUSEDPIN Pullup [current_design]
    set_property CONFIG_MODE BPI16 [current_design]
    set_property CFGBVS GND [current_design]
    set_property CONFIG_VOLTAGE 1.8 [current_design]

  • 相关阅读:
    boost库常用库介绍
    boost介绍
    vs2019+win10配置boost库
    交互式多媒体图书平台的设计与实现
    47.全排列 2
    46.全排列
    基于VSCode的C++编程语言的构建调试环境搭建指南
    码农的自我修养之必备技能 学习笔记
    工程化编程实战callback接口学习笔记
    Erlang模块inet翻译
  • 原文地址:https://www.cnblogs.com/fpga/p/5468112.html
Copyright © 2011-2022 走看看