zoukankan      html  css  js  c++  java
  • 【转载】关于quartus ii软件中注释乱码问题的解决方法

    最近在看Verilog代码,由于我的quartus版本打开他们的文件注释会全部乱码,痛苦万分!从网上找了下原因,解决方法基本没有,不过看到有人提出是编码的问题,立马我就想到一个解决方法,经过实验果然有用,下面介绍给大家,希望对你们有用!

    乱码现象:

    [转载]<记录>关于quartus <wbr>ii软件中注释乱码问题的解决方法

     
    解决办法:

     

    打开文件所在工程找到该verilog文件(后缀名是.v),使用记事本打开,这时你会看到注释好好的没乱码,很高兴是不,不用着急。接下来点击文件再另存为,选择编码:UTF-8点保存,这时会提示是否替换,点击是。这时再打开文件就不会再出现乱码了,赶快去试试吧!
     

    成功解决:

     

    [转载]<记录>关于quartus <wbr>ii软件中注释乱码问题的解决方法

     

  • 相关阅读:
    MySQL 子查询
    mysql3
    mysql2
    mysql
    C语言理论知识
    冒泡排序
    猜数字游戏
    WPF清爽酷炫的界面Mahapps.metro
    如何在.net4.0中使用.net4.5的async/await
    在C#中使用官方驱动操作MongoDB
  • 原文地址:https://www.cnblogs.com/huanzxj/p/5038179.html
Copyright © 2011-2022 走看看