zoukankan      html  css  js  c++  java
  • Modelsim+Debussy联合使用

              在EETOP网友聚会上,看到一位前辈使用Debussy,当时还不知道是什么软件,就把名字给记录下来了。回来后网上搜了搜,才知道这是一个HDL查看软件。调查发现,网友反映的很对,我也是遇到过这种情况,就是每次修改代码之后或者想要添加一些输出信号的时候都要重新编译和仿真一下,而且如果工程很大的话,仿真时间会很长。然而Debussy这款软件把这个问题解决了,网上对于Modelsim+Debussy联合仿真反响很好。此外,Debussy这款软件还可以作为HDL代码查看软件,它可以帮助设计者迅速查看复杂设计(如设计小组中别人设计的复杂的、不熟悉的代码或者IP),查找设计中存在的bug,提高工作效率。鉴于此,将Modelsim+Debussy联合使用的环境搭建记录了下来。

           首先电脑上要安装好这两款软件并破解,我的电脑上目前安装的是Modelsim6.5e和Debussy5.4V9。

           1、拷贝文件..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll至文件夹..\modeltech_6.5\win32

               2、取消文件..\modeltech_6.5\modelsim.ini只读属性后,打开,找到 

                            ; Veriuser = veriuser.sl

                      改为

                            Veriuser = novas.dll

     

    注意将前面的;去掉。

    这算是环境配置吧。接下来就是实际操作了

    1、首先在testbench文件里面加上如下代码

    //调用ModelSim生成波形文件,并保存为wave.fsdb,供Debussy查看
    // dump fsdb file for debussy
    initial
    begin
      $fsdbDumpfile("wave.fsdb");
      $fsdbDumpvars;
    end

    2、编写HDL文件列表文件:rtl.f,以我的为例:

    //*********目录: ./testbench/-------------------------------------------------------------/
    ../testbench/TFT_tb.v
    ../testbench/mt48lc8m16a2.v
    
    //---------目录: ./src/  -----------------------------------------------------------------/
    ../src/CONTROL_UNIT.v
    ../src/LCD.v
    ../src/MCU.v
    ../src/SPI_CTL.v
    ../src/TFT.v
    ../src/TOUCH.v
    
    //--------目录: ./src/sdram/-------------------------------------------------------------/
    ../src/sdram/sdr_ctrl.v
    ../src/sdram/sdr_data.v
    ../src/sdram/sdr_par.txt
    ../src/sdram/sdr_sig.v
    ../src/sdram/sdr_top.v

    3、编写Modelsim命令行脚本文件sim.do

    ../src/sdram/sdr_sig.v
    ../src/sdram/sdr_top.v
           3、编写Modelsim命令行脚本文件sim.do
    
    vlib work             ;#建立work库
    vlog -f rtl.f         ;#添加工程文件
    vsim work.TFT_tb ;    ;#仿真  需要按需要修改为相应的顶层文件
    run 10ms              ;#仿真10ms
    q                     ;#退出
    

    4、编写批处理脚本文件run.bat

    ::关闭回显
    @ECHO OFF
    ::Modelsim Command
    echo "Runing Modesim6.5e......"
    D:\modeltech_6.5e\win32\vsim.exe -c -do sim.do
    ::删除Modelsim生成的相关文件
    echo "Delete Intermediate File Generated By Modesim6.5e"
    RD work /s /q
    DEL transcript vsim.wlf /q
    ::Debussy Command
    echo "Runing Debussy5.4v9......"
    D:\Novas\Debussy\bin\Debussy.exe -f rtl.f -ssf wave.fsdb -2001
    ::删除波形文件
    echo "Delete wave.fsdb......"
    DEL wave.fsdb /q
    ::删除Debussy生成的相关文件
    echo "Delete Intermediate File Generated By Debussy5.4v9"
    RD Debussy.exeLog /s /q
    DEL debussy.rc /q
    ::退出命令行
    EXIT

    这里面的配置需要按照具体路径和配置来更改
    然后点击run.bat,最后就会将HDL代码使用Modelsim进行仿真,然后调用Debussy软件查看了

    Enjoy it!



     

  • 相关阅读:
    Hbase集群部署及shell操作
    sqoop部署与使用
    azkaban部署
    Hive入门操作
    Hive部署
    MapReduce过程详解(基于hadoop2.x架构)
    MapReduce编程中常用的字符操作
    【图文详解】深入HDFS原理
    vue项目跨域问题的解决:vue-cli 开启代理
    beego框架入门(2)
  • 原文地址:https://www.cnblogs.com/javawebsoa/p/3045577.html
Copyright © 2011-2022 走看看