zoukankan      html  css  js  c++  java
  • 循环灯控制器,该控制器控制红、绿、黄三个发光管循环发亮(VHDL语言)

    设计一个循环灯控制器,该控制器控制红、绿、黄三个发光管循环发亮。要求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。(假设外部提供频率为1MHz的方波信号)


    library ieee;
    use ieee.std_logic_1164.all;
    
    entity loopled_tb_vhd is  
    end loopled_tb_vhd;
    
    architecture rtl of loopled_tb_vhd is
      component loopled
        port(
           CLK,CLR:IN STD_LOGIC;
           Red,Green,Yellow:OUT STD_LOGIC
          );
      end component;
    
      signal clr  :std_logic:='0';
      signal clk  :std_logic:='0';
      signal Red  :std_logic;
      signal Green  :std_logic;
      signal Yellow  :std_logic;
    
      begin
        uut:loopled port map
        (
          clk=>clk,clr=>clr,Red=>Red,Green=>Green,Yellow=>Yellow
          );
      --clk_gen:process
      --begin  
       -- clk <= '1' AFTER 0 ns; 
        clr <='1' AFTER 0 ns,
    
             '0' AFTER 2 ns;
             
      tb:PROCESS
      BEGIN
        clk<='0';
        LOOP
            clk<='1','0' AFTER 1 ns;
            wait for 2 ns;
        END LOOP;
    END PROCESS;
        
     
    end rtl;


  • 相关阅读:
    令Django 视图有默认 login_required
    令Django 视图有默认 login_required
    Python 资源
    Python 资源
    小米3 日历 同步google日历
    小米3 日历 同步google日历
    sql语句 case
    DDOSIM安装
    DDos工具tfn2k的编译
    RPM和YUM
  • 原文地址:https://www.cnblogs.com/javawebsoa/p/3177929.html
Copyright © 2011-2022 走看看