zoukankan      html  css  js  c++  java
  • FPGA-IP核错误 + 找不到top module错误 + 生成比特流报错

    block design 在进行验证时出现一下报错

    [BD 5-336] This command cannot be run, as the BD-design is locked. Locked reason(s):
    * BD design contains locked IPs. Please run report_ip_status for more details and recommendations on how to fix this issue.

    具体原因为--找不到tpg这个ip的lisence

    在网上找了一个lisence,加载以后就没有报错了

    参考:https://www.cnblogs.com/runningsoybean/p/10234495.html

    https://download.csdn.net/download/upandi/10642213?utm_medium=distribute.pc_relevant_t0.none-task-download-BlogCommendFromMachineLearnPai2-1.nonecase&depth_1-utm_source=distribute.pc_relevant_t0.none-task-download-BlogCommendFromMachineLearnPai2-1.nonecase

    https://blog.csdn.net/qiuzhongweiwei/article/details/78528006?ops_request_misc=&request_id=&biz_id=102&utm_term=vivado%20ip&utm_medium=distribute.pc_search_result.none-task-blog-2~all~sobaiduweb~default-2-78528006

    找不到顶层模块

    Generate Bitstream报错[DRC NSTD-1]

    报错如下

    [Drc 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - 4 out of 142 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE
    : When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: USBIND_0_port_indctl[1:0], USBIND_0_vbus_pwrfault, USBIND_0_vbus_pwrselect.
     
    新建记事本,添加以下三句:
    set_property SEVERITY {Warning} [get_drc_checks NSTD-1]
    set_property SEVERITY {Warning} [get_drc_checks RTSTAT-1]
    set_property SEVERITY {Warning} [get_drc_checks UCIO-1]
    重命名为  name.tcl文件(确定后缀格式有效)

    在generate bitstream 界面如下,右键进入setting

    将新建的.tcl文件添加进来,再一次Generate bitstream  

     

    转自:https://blog.csdn.net/qq_41634276/article/details/82750794

  • 相关阅读:
    从零开始系统深入学习android(实践让我们开始写代码Android框架学习7.App Widgets)
    用才智征服天下,用信誉赢得未来
    微众时代看网络营销
    营销不是传奇,欠的只是方法
    名扬天下,从这里出发
    【缅怀妈妈系列诗歌】之二十六:贺诞母亲
    营销密码,带你走进神奇地带
    打造“80后”第一营销品牌
    如果有一天
    把你写进诗里
  • 原文地址:https://www.cnblogs.com/kyshan/p/13354314.html
Copyright © 2011-2022 走看看