zoukankan      html  css  js  c++  java
  • SystemVerilog语言简介(一) 分类: 硬件开发_FPGA 2013-10-09 16:49 468人阅读 评论(0) 收藏

    1. 接口(Interface)

    Verilog模块之间的连接是通过模块端口进行的。为了给组成设计的各个模块定义端口,我们必须对期望的硬件设计有一个详细的认识。不幸的是,在设计的早期,我们很难把握设计的细节。而且,一旦模块的端口定义完成后,我们也很难改变端口的配置。另外,一个设计中的许多模块往往具有相同的端口定义,在Verilog中,我们必须在每个模块中进行相同的定义,这为我们增加了无谓的工作量。

    SystemVerilog提供了一个新的、高层抽象的模块连接,这个连接被称为接口(Interface。接口在关键字interfaceendinterface之间定义,它独立于模块。接口在模块中就像一个单一的端口一样使用。在最简单的形式下,一个接口可以认为是一组线网。例如,可以将PCI总线的所有信号绑定在一起组成一个接口。通过使用接口,我们在进行一个设计的时候可以不需要首先建立各个模块间的互连。随着设计的深入,各个设计细节也会变得越来越清晰,而接口内的信号也会很容易地表示出来。当接口发生变化时,这些变化也会在使用该接口的所有模块中反映出来,而无需更改每一个模块。下面是一个接口的使用实例:

    chip_bus; // 定义接口

    wire read_request, read_grant;

    wire [7:0] address, data;

    endinterface: chip_bus

     

    module RAM (chip_bus io, // 使用接口

    input clk);

    // 可以使用io.read_request引用接口中的一个信号

    endmodule

     

    module CPU(chip_bus io, input clk);

    ...

    endmodule

     

    module top;

    reg clk = 0;

    chip_bus a; // 实例接口

    // 将接口连接到模块实例

    RAM mem(a, clk);

    CPU cpu(a, clk);

    endmodule 

    interface

     

     

    实际上,SystemVerilog的接口不仅仅可以表示信号的绑定和互连。由于SystemVerilog的接口中可以包含参数、常量、变量、结构、函数、任务、initial块、always块以及连续赋值语句,所以SystemVerilog的接口还可以包含内建的协议检查以及被使用该接口的模块所共用的功能。

    2. 全局声明和语句

    Verilog中,除了一个模块可以作为模块实例引用其他模块外,并不存在一个全局空间。另外,Verilog允许任意数目的顶层模块,因此会产生毫无关联的层次树。

    SystemVeriog增加了一个被称为$root的隐含的顶级层次。任何在模块边界之外的声明和语句都存在于$root空间中。所有的模块,无论它处于哪一个设计层次,都可以引用$root中声明的名字。这样,如果某些变量、函数或其它信息被设计中的所有模块共享,那么我们就可以将它们作为全局声明和语句。全局声明和语句的一个使用实例如下:

    error _flag; // 全局变量

    function compare (...); // 全局函数

    always @(error_flag) // 全局语句

    ...

    module test;

    chip1 u1 (...)

    endmodule

    module chip1 (...);

    FSM u2 (...);

    always @(data)

    error_flag = compare(data, expected);

    endmodule

    module FSM (...);

    ...

    always @(state)

    error_flag = compare(state, expected);

    endmodule

    reg

    时间单位和精度

    3.

    Verilog中,表示时间的值使用一个数来表示,而不带有任何时间单位。例如:

    forever #5clock = ~clock;

     

     

     

    从这一句中我们无法判断5代表的是5ns? 5ps? 还是其他。Verilog的时间单位和精度是作为每一个模块的属性,并使用编译器指令`timescale来设置。使用这种方法具有固有的缺陷,因为编译器指令的执行依赖于源代码的编译顺序,编译器总是将它遇到的最后一个`timescale设置的时间单位和精度作为之后的标准。那么,假如有些模块之前没有使用`timescale设置时间单位和精度,这就有可能出现同一个源代码的不同仿真会出现不同结果的情况。

     

    SystemVerilog为了控制时间单位加入了两个重要的增强。首先,时间值可以显式地指定一个单位。时间单位可以是smsnspsfs。时间单位作为时间值的后缀出现。例如:

     

    forever #5nsclock = ~clock;

     

     

     

    其次,SystemVerilog允许使用新的关键字(timeunitstimeprecision)来指定时间单位和精度。这些声明可以在任何模块中指定,同时也可以在$root空间中全局指定。时间单位和精度必须是10的幂,范围可以从sfs。例如:

    1ns;

    timeunits
     

    版权声明:本文为博主原创文章,未经博主允许不得转载。

  • 相关阅读:
    小程序中上传图片并进行压缩
    vue在传值的时候经常遇到的问题
    【H5】移动开发 rem
    【入门】Less 知识点整理
    【入门】前后端交互
    原型对象、原型链
    跨域-jsonp、cors、iframe、document.domain、postMessage()
    css水平垂直居中
    localStorage、sessionStorage、cookie、session
    vue中父组件调用子组件函数
  • 原文地址:https://www.cnblogs.com/mao0504/p/4706752.html
Copyright © 2011-2022 走看看