zoukankan      html  css  js  c++  java
  • ping-pong buffer

    1 什么是pingpong?

       pingpong是一种数据缓存的手段,通过pingpong操作可以提高数据传输的效率。

    2 什么时候需要pingpong?

    在两个模块间交换数据时,上一级处理的结果不能马上被下一级所处理完成,这样上一级必须等待下一级处理完成才可以送新的数据,这样就会对性能产生很大的损失。

    引入pingpong后我们可以不去等待下一级处理结束,而是将结果保存在pong路的缓存中,pong路的数据准备好的时刻,ping路的数据也处理完毕(下一级),然后无需等待直接处理pong路数据,上一级也无需等待,转而将结果存储在ping路。这样便提高了处理效率。

    3 实现

    实现时我们需要,两个指针,ping_rd,pong_wr. 还要保存数据的缓存reg [31:0] buf [1:0];

    always @(posedge clk or negedge rst)

    if(!rst)

    ping_rd <= 0;

    else if(rd)

    ping_rd <= ~ping_rd;

    always @(posedge clk or negedge rst)

    if(!rst)

    ping_wr <= 0;

    else if(wr)

    ping_wr <= ~ping_wr;

    always @(posedge clk )

    if(wr)

    buf[ping_wr] <= data_in;

    assgin data_out =  buf[ping_rd];

    为了满足模块间的握手,可以再增加两个标识位,表示相应的buffer是否有效。

    reg[1:0] buf_valid;

    always @(posedge clk or negedge rst)

    if(!rst)

    buf_valid[0]<= 1'b0;

    else if(rd & ~ping_rd)

    buf_valid[0]<= 1'b0;

    else if(wr & ~ping_wr)

    buf_valid[0]<= 1'b1;

    always @(posedge clk or negedge rst)

    if(!rst)

    buf_valid[1]<= 1'b0;

    else if(rd & ping_rd)

    buf_valid[1]<= 1'b0;

    else if(wr & ping_wr)

    buf_valid[1]<= 1'b1;

     

    wire data_rdy = buf_valid[1] | buf_valid[0];

    wire m_full    = buf_valid[1] & buf_valid[0];

     

    下图给出了pingpang的基本原理框图,从图上可以看出使用pingpang的主要作用 就是使用多个低速的数据预处理模块处理高速的输入数据流。这样做可以提高系统的数据吞吐量(如果不使用乒乓的话数据预处理模块会成为设计中限制系统数据吞 吐量的瓶颈),同时增加了数据缓冲延迟。

    ping pong buffer的优点 - myswirl - 漩涡的窝

    另一个解释:

    所谓ping-pong buffer,也就是定义两个buffer,当有数据进来的时候,负责写入buffer的进程就寻找第一个没有被占用而且可写的buffer,进行写入,写好之后,将占用flag释放,同时设置一个flag提示此buffer已经可读,然后再接下去找另外一个可写的buffer,写入新的数据。

    而读入的进程也是一直对buffer状态进行检测,一旦发现没有被占用,而且已经可以被读,就把这个buffer的数据取出来,然后标志为可写。

    参考:

    https://blog.csdn.net/MIThebut/article/details/44995959

    https://blog.csdn.net/zhuzhiqi11/article/details/46289629

    https://blog.csdn.net/hustbin/article/details/16951689

  • 相关阅读:
    机房收费系统重构(三)—工厂+反射+DAL
    机房收费系统重构(二)—菜鸟入门
    机房收费系统重构(—)—小试牛刀
    vb.net机房收费登录功能
    设计模式总结之结构型模式
    设计模式总结之创建型模式
    大话设计之桥接模式
    大话设计之单例模式
    大话设计之适配器模式
    大话设计之抽象工厂模式
  • 原文地址:https://www.cnblogs.com/mhq-martin/p/11537690.html
Copyright © 2011-2022 走看看