zoukankan      html  css  js  c++  java
  • VHDL设计时参数定义的方法 例子

    -- SPtb

    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    USE IEEE.STD_LOGIC_ARITH.ALL;
    USE IEEE.STD_LOGIC_UNSIGNED.ALL;
    use std.textio.all;
    use ieee.std_logic_textio.all;
     
    -- Uncomment the following library declaration if using
    -- arithmetic functions with Signed or Unsigned values
    --USE ieee.numeric_std.ALL;
     
    ENTITY ROMtb IS
        -- Component Declaration for the Unit Under Test (UUT)
             --GENERIC ( INIT_0 : bit_vector(15 downto 0) := X"0000" );
             PORT (
          DO : out std_logic;
         I0 : in std_logic;
             I1 : in std_logic;
         I2 : in std_logic;
         I3 : in std_logic
             );
    END ROMtb;
     
    ARCHITECTURE behavior OF ROMtb IS
        COMPONENT ROM16
             GENERIC ( INIT_0 : bit_vector(15 downto 0) := X"0000" );
             PORT (
          DO : out std_logic;
         I0 : in std_logic;
             I1 : in std_logic;
         I2 : in std_logic;
         I3 : in std_logic
             );
        END COMPONENT;

    BEGIN

        uut: ROM16 GENERIC MAP (INIT_0 => X"0000" )
                     PORT MAP (
                      DO => DO,
                      I0 => I0,
                      I1 => I1,
                      I2 => I2,
                      I3 => I3

            );

       

    END;

  • 相关阅读:
    软工5--结对2--四则运算生成器
    软工4——结对审查
    天数计算器
    翻转字符串
    位图排序
    软工 assignment 3 —— 求最大子数列之和
    剑指offer 面试题5.替换空格
    剑指offer 面试题4.二维数组中的查找
    剑指offer 面试题3.数组中重复的数字
    如何通过onenote发送博客到cnblog(博客园)
  • 原文地址:https://www.cnblogs.com/rednodel/p/4221382.html
Copyright © 2011-2022 走看看