zoukankan      html  css  js  c++  java
  • modelsim使用命令

    1. 常用仿真命令

    vlib work    // 建立work仿真库

    vmap work wrok   // 映射库

    vlog   -cover  bcest  *.v    // 加覆盖率分析的编译

    vsim  -coverage  -voptargs="+acc"  -t ns  test   // 仿真文件为test.v

    add wave  *     // 将所有模块waveform. dump出来

    add wavesim:/test/t/M2/Reg_out        // 将模块Reg_out中的waveform. dump出来

    delete  wave  /test/i

    2. SVA 断言仿真命令

    vlog  -sv  a.v

    vsim  -assertdebug  test

    view  assertions

    vsim  -assertdebug  ScaleBlock_tf  -L xilinxcorelib_ver  -L  unisims_ver   // 加载xilinxlib库

    3. verror  3601   // 查错

    4. 给仿真工具加载xilinx 库命令

    (1)加载之前将modelsim.ini改为非“只读”

    (2)“运行” cmd,到xilinx目录下

    (3) C:Xilinx >  compxlib  -s  mti_se  -p c:Modeltech_6.0win32  -f  all  -l  verilog  -o C: Modeltech_6.0Xilinx_lbis

    或者  Xilinx目录下.in t下有compxlib.exe

    简单得modelsim命令行仿真

                                          

    用do文件进行仿真真得很方便,比写testbench方便多了,我是深有感触呀,开始时因为不知道,只知道写testbence,在小得模块也写testbench,真得很烦躁!而且信号定义什么得比较多,采用do文件得方法就没有那么多信号定义了,管理也比较方便,呵呵,真得很方便,而且采用命令行得形式,感觉特有成就感,呵呵!

    1.运行仿真,在主窗口输入命令:vsim work.实体名

    2.为时钟信号添加驱动,输入命令:force clk 0 0,1 10 -r 20,将仿真时钟设为50MHz;(设时间单位为ns)

    3.打开波形窗口,输入命令:view wave

    4.为波形窗口添加信号,输入命令:add wave -hex *,这里的*表示添加设计中所有的信号,-hex表示以十六进制来表示波形窗口中的信号值;

    5.开始仿真,输入命令,run 3us,这时候在波形窗口中出现仿真波形

    6.退出仿真,输入命令:quit –sim。

    modelsim常用命令

    分类: Verilog/FPGA2010-05-26 10:49 354人阅读 评论(1) 收藏举报

    do文件进行仿真真得很方便,比写testbench方便多了,采用do文件没有那么多信号定义,管理也比较方便.

     

    1.运行仿真,在主窗口输入命令:vsim work.实体名

    2.为时钟信号添加驱动,输入命令:force clk 0 0,1 10 -r 20,将仿真时钟设为50MHz(设时间单位为ns)

    3.打开波形窗口,输入命令:view wave

    4.为波形窗口添加信号,输入命令:add wave -hex *,这里的*表示添加设计中所有的信号,-hex表示以十六进制来表示波

    形窗口中的信号值;

    5.开始仿真,输入命令,run 3us,这时候在波形窗口中出现仿真波形

    6.退出仿真,输入命令:quit –sim //很常用!!

    7.查看错误详细信息: verror **(错误数字代号)

    --vlib - 建立一个新的工作库。
    如:vlib work    
       
    在当前目录建立逻辑库work,运行后会在当前目录下找到work文件夹。

    --vmap - 映射逻辑库名到指定的目录

    --vsim - 启动仿真
    如:vsim -c -l vsim.log -do ./YourDo.do -L./work work.foo
       
    开始仿真,-c 选项让vsim工作在commandline模式;-l 选项是输出log文件到vsim.log -do 选项是开始仿真后运行tcl脚本文件;-L 选项是指定工作逻辑库;work.foo是仿真的top level module

    odelSim之命令行仿真入门
    下面是我们的Tcl仿真步骤:
    启动ModelSimSE, 首先看到在在ModelSim SE右边的窗口有ModelSim> 这样的提示符。在提示符后,顺序运行以下命令:
        vlib work  该命令的作用是在该目录下建立一个work目录,请注意不要用操作系统来新建一个work的文件夹,因为用操作系统建立的work文件夹并没有ModelSim SE自动生成的_info文件。
        vmap work work    该命令的作用是将目前的逻辑工作库work和实际工作库work映射对应。
        vlog camera.v  camera_tb.v  该命令的作用是编译这些文件,要注意的是文件可以单独分开编译,但是一定要先编译被调用的文件。假如是VHDL文件就可以用vcom file1,file2命令来编译。
        vsim camera_tb  仿真命令, 注意后面的参数必须为camera_tb.v文件中的模块名。
        add wave/camera_tb/ * 该命令的作用是将testbench文件camera_tb.v中模块camera_tb下所有的信号变量加到波形文件中去,注意在“*”前要加空格。这时候你也可以看到wave文件被打开。当然也可以单个信号的添加,例如添加时钟:add waveclk 等等。
        run 2000  该命令的作用是运行2000个单位时间的仿真。也可以用run –all命令来一直仿真下去。
        这时候就可以在wave窗口文件中看到你的仿真结果。
        当然也可以观察其它窗口的结果,用view命令显示    
         view * 观察包括signals、wave、dataflow等窗口文件。也可以分别打开。例如用view signals来观察信号变量。

    ModelSim仿真常用命令以及仿真脚本的编写

    在我们用ModelSim仿真的时候经常是修改一点一点修改代码,这样会造成一个无奈的操作循环:修改代码--->编译代码--->仿真设置--->进入仿真页面--->添加需要观察的波形--->运行仿真。如果仿真结果不理想,还得需要重新修改代码,重复上述的操作。

    计算机擅长做重复的事情,为什么不让计算机代劳呢?

    我们可以参照Xilinx ISE是如何调用ModelSim进行仿真的,尤其是脚本的编写。

    下面一个脚本是我用ISE10.1建立了一个AES256的工程,然后在调用ModelSim6.5的时候,ISE会生成这几个脚本文件,AES256_tb.fdo,AES256_tb_wave.fdo 和AES256_tb.udo。

    下面的代码是AES256_tb.fdo文件的内容。

    1: vlib work #创建名字是work的库,这个仿真之前必须做的
       2: vcom -explicit  -93 "SBOX_ROM.vhd"  #编译vhd代码
       3: vcom -explicit  -93 "Inv_SBOX_ROM.vhd"
       4: vcom -explicit  -93 "AES_package.vhd"
       5: vcom -explicit  -93 "subbytes.vhd"
       6: vcom -explicit  -93 "SBOX_ROM_reg.vhd"
       7: vcom -explicit  -93 "round_key_BRAM.vhd"
       8: vcom -explicit  -93 "Inv_subbytes.vhd"
       9: vcom -explicit  -93 "Keyexpansion_Yao.vhd"
      10: vcom -explicit  -93 "AES256_ENC_DEC.vhd"
      11: vcom -explicit  -93 "AES256_TOP.vhd"
      12: vcom -explicit  -93 "AES256_tb.vhd"
      13: vsim -t 1ps   -lib work AES256_tb 
    #进入仿真设置,时间单位为1ps,库指定为work,AES256_tb就是指你的top层设计的名字
      14: do {AES256_tb_wave.fdo} #执行*.fdo文件,用来添加信号和变量或者内部的寄存器到波形(WAVE)窗口
      15: view wave #打开波形窗口
      16: view structure #打开架构(structure)窗口
      17: view signals   #打开信号列表窗口
      18: run 1000ns     #运行1000ns
      19: do {AES256_tb.udo} #运行用户定义的脚本

    只要编译的时候没有出现语法错误或者是找不到定义的库文件等错误提示,一般会很容易的看到仿真的波形,而不用手动进行操作。这样方便了仿真的整个过程,而无需用很多鼠标点击操作。

    现在通过一个具体的实例来说明如何运用脚本来实现ModelSim的仿真。

    工具版本:ISE10.1 ModelSim 6.5a

    1. 创建ISE工程

    首先通过ISE创建test.vhd test_tb.vhd文件并添加到工程中,这里不细说如何创建HDL源代码。

    然后配置ISE的仿真器,右键选中FPGA芯片,点击properties,然后在Simulator选择Modelsim-SEVHDL

    1.工程属性

    source窗口选择“BehavioralSimulation”,可以看到工程中的test_tb.vhdtestbench文件)。选中test_tb.vhd文件,在Process窗口中双击SimulateBehavioral ModelISE开始调用ModelSim,这是ISE自动生成了三个脚本文件:test_tb.fdo, test_tb_wave.fdotest_tb.udo

     
    2.Behavioral Simulation 窗口

    看看三个脚本文件的内容吧。
    test_tb.fdo
    内容如下:

       1: vlib work    #添加library
       2: vcom -explicit  -93 "test.vhd"  #编译test的顶层文件
       3: vcom -explicit  -93 "test_tb.vhd" #编译test_tbtestbench文件
       4: vsim -t 1ps   -lib work test_tb   #进入仿真,时间单位1ps,仿真test_tb
       5: do {test_tb_wave.fdo}             #执行*.fdo脚本文件,用于添加仿真波形
       6: view wave                         #打开波形窗口
       7: view structure                    #打开structure窗口                       
       8: view signals                      #打开信号窗口
       9: run 1000ns                        #仿真运行1000ns
      10: do {test_tb.udo}                  #执行*.udo脚本文件,用于执行用户定义的脚本命令

     

    test_tb_wave.fdo内容如下():

       1: ## Project Navigator simulation template: test_tb_wave.fdo
       2: ## You may edit this file to control your simulation.
       3: add wave *  ##添加Top层所有的端口信号

    test_tb.ufo内容为空白,是留着给用户自己添加。

    对我们来说最有用的就是test_tb.fdo文件了,只要稍微修改就可以成为一个针对这个工程的很好的用于仿真的脚本。当然我们可以自己手动来编写类似的脚本,用ISE自动生成主要是为了涂个省事。

    2.调用ModelSim进行仿真

    在图2中,Process窗口中双击SimulateBehavioral Model,进入ModelSim仿真环境。请仔细观察ModelSim Transcript窗口中消息的输出。内容如下:

       1: # do {test_tb.fdo}   #<-------执行脚本文件
       2: # ** Warning: (vlib-34) Library already exists at "work".
       3: # Model Technology ModelSim SE vcom 6.5 Compiler 2009.01 Jan 22
    2009  #<-----编译test.vhd得到的message
       4: # -- Loading package standard
       5: # -- Loading package std_logic_1164
       6: # -- Loading package std_logic_arith
       7: # -- Loading package std_logic_unsigned
       8: # -- Compiling entity test
       9: # -- Compiling architecture test of test
      10: # Model Technology ModelSim SE vcom 6.5 Compiler 2009.01 Jan 22
    2009 #<-----编译test.vhd得到的message
      11: # -- Loading package standard
      12: # -- Loading package std_logic_1164
      13: # -- Loading package std_logic_arith
      14: # -- Loading package std_logic_unsigned
      15: # -- Compiling entity test_tb
      16: # -- Compiling architecture behavior of test_tb
      17: # vsim -lib work -t 1ps test_tb                #仿真设置命令行
      18: # Loading std.standard
      19: # Loading ieee.std_logic_1164(body)
      20: # Loading ieee.std_logic_arith(body)
      21: # Loading ieee.std_logic_unsigned(body)
      22: # Loading work.test_tb(behavior)#1            #加载test_tb
      23: # .main_pane.wave.interior.cs.body.pw.wf      #打开wave窗口
      24: # .main_pane.structure.interior.cs.body.struct #列出structure
      25: # .main_pane.objects.interior.cs.body         

    注:在test_tb.fdo的每一行都可以在ModelSim Transcript窗口中分开一行一行执行。

    然后可以观察到仿真波形文件。

    3.仿真波形

    3. 调试代码

    或许仿真出来的结果不是我们想要的,必须的修改代码,然后再仿真。那我们应该怎么办呢?

    trasncript窗口输入:

       1: quit -sim      #退出仿真
       2: do test_tb.fdo #修改代码完成后重新执行该脚本进行仿真

    在仿真的波形的时候,我们不仅仅希望看到Top层设计的端口信号的波形,还希望能观察到内部信号是如何变化的,所以我们在Sim窗口中找到内部的信号放到wave中进行观察。但是如果我们重新运行当初test_tb.fdo文件,我们又再一次只能看到Top层设计的端口信号的波形,而内部信号的波形已经被删除。那我们应该如何保存和运用我们仿真波形文件呢?

    我现在需要观察内部的一个寄存器输出:tmp信号,见图4Ctrl+S,保存波形文件。默认保存为工程目录下/wave.do,但是我们将用波形文件保存为test_tb_wave.fdo文件,这样做的好处可以不用修改test_tb.fdo文件。

    4.内部信号观察

    我们重新运行test_tb.fdo脚本文件之后,输出的波形是图4,而不是图3

    差不多常用的VHDL仿真命令都用上了,我相信这样做肯定会提高仿真的效率。如果想要熟悉更多的ModelSim的仿真命令,请查看ModelSim的用书手册。

    【翻译】ModelSim指南 VI (ModelSim)(Verilog)(Digital Logic)

    作者:yf.x  来源:博客园  发布时间:2011-03-09 16:20  阅读:307 次  原文链接   [收藏]  

    8

    自动仿真

    简介

    前面的课程主要讲使用ModelSim的交互模式:通过图形界面或主窗口的命令行一条条的执行单一的命令。当需要完成重复的任务时,可用DO文件提高效率。

    DO文件是一次执行多条命令的脚本。这个脚本可以像带有相关参数的一系列ModelSim命令一样简单,或者是带有变量,执行条件等等的Tcl程序。可在GUI里或系统命令提示符后执行Do文件。

    注意:

    本课假设你已经添加<install_dir>/modeltech/<platform>到你的环境变量的PATH。否则,要指定工具(如,vlib,vmap, vlog,vcom,和vsim)的绝对路径。

    扩展阅读

    用户手册:Tcland Macros ( DO Files )。

    PracticalProgramming in Tcl and Tk, Brent B. Welch, Copyright 1997

    创建一个简单的DO文件

    创建DO文件就像在文本文件里输入命令一样。或者,可保存主窗口的transcript作为一个DO 文件。本练习将用在主窗口transcript输入的命令来创建一个DO文件以添加信号到波形窗口,添加激励,然后仿真。

    1. 加载test_counter设计单元。

    a) 启动ModelSim。

    b) 切换目录至在基本仿真那课创建的目录。

    c) 输入vsim test_counter来加载设计单元。

    2. 输入命令给波形窗口添加信号,激励信号,然后运行仿真。

    选择File> New > Source > Do来创建一个新的DO文件。

    在源码窗口输入以下命令:

    add wave count

    add wave clk

    add wave reset

    force –freezeclk 0 0, 1 {50 ns} –r 100

    force reset 1

    run 100

    force reset 0

    run 300

    force reset 1

    run 400

    force reset 0

    run 200

    3. 保存文件。

    a) 选择File > Save As。

    b) 在File name栏输入sim.do并保存到当前目录。

    4. 再次加载仿真并使用DO文件。

    a) 在VSIM>后输入quit –sim。

    b) 在ModelSim>后输入vsim test_counter。

    c) 在VSIM>后输入do sim.do。

    ModelSim执行保存的命令并在波形窗口生成波形。

    5. 当完成本练习,选择File > Quit退出ModelSim。

    在命令行模式运行

    1. 创建一个新目录并拷贝文件。

    开始本练习前,创建一个新目录,并拷贝以下文件:

    l/<install_dir>/examples/tutorials/verilog/automation/counter.v

    l/<install_dir>/examples/tutorials/verilog/automation/stim.do

    2. 创建一个新的设计库并编译源文件。

    在系统提示符后输入以下命令。

    a) 输入vlib work。

    b) 输入vlog counter.v。

    3. 创建一个DO文件。

    a) 打开文本编辑器。

    b) 输入以下内容:

    # list allsignals in decimal format

    add list–decimal *

    # read instimulus

    do stim.do

    # output results

    write listcounter.lst

    #quit thesimulation

    quit –f

    c) 把文件命名为sim.do,然后保存在当前文件夹。

    4. 运行批处理仿真

    a) 在提示符后输入以下命令:

    vsim –c –dosim.do counter –wlf counter.wlf

    这里-c表示不打开GUI。-wlf保存仿真结果为一个WLF文件。调试时可在GUI查看仿真结果。

    5. 查看输出列表。

    a) 打开counter.lst并查看仿真结果。类似下图:

    6. 在GUI下查看结果。

    如果在counter.wlf保存了仿真结果,就可在GUI下通过-view参数查看。

    注意:

    确定你的环境变量的PATH设置的路径为当前ModelSim的路径。

    a) 在系统提示符后输入vsim –view counter.wlf。

    打开GUI和一个名为“counter”资料组标签(图8-1)。

    b) 右击counter实例并选择Add > To Wave > Allitems in region。

    波形窗口显示波形。

    7. 当查看完结果。选择File > Quit关闭ModelSim。

    在仿真器里使用Tcl

    前面的练习的DO文件只使用了ModelSim的命令。但是,DO文件实质上是Tcl脚本。可以包含很多Tcl结构,比如程序,条件运算符,数学和三角函数,正则表达式等等。

    本练习创建一个Tcl脚本,用来识别信号的确定值,如果存在,在波形窗口放置标签。标签可将波形窗口的滑动和放大区域记录下来。

    1. 创建脚本。

    a) 在文本编辑器,新建一个文件并输入以下行:

    procadd_wave_zoom {stim num} {

    echo “Bookmakingwave $num”

    bookmark addwave “bk$num” “[expr $stime – 50] [expr $stime +

    100]” 0

    }

    这些命令的作用:

    l 创建一个名为“add_wave_zoom”的程序,它有stime和num两个参数。

    l 从当前仿真时间的前50个单位时间到后100个单位时间的范围创建一个书签。

    b) 在脚本末添加以下行:

    add wave –r /*

    when {clk’eventand clk=”1”}{

    echo “Count is[xa count]”

    if {[examinecount]==”00100111”}{

    add wave_zoom$now 1

    } elseif {[examinecount]==”01000111”}{

    add_wave_zoom$now 2

    }

    }

    这些命令的作用:

    l 给波形窗口添加所有的信号。

    l 使用when语句识别clk跳变为1的时刻。

    l 在这些跳变检测count的值,如果它是某个确定值,添加一个书签。

    c) 将这个脚本以”add_bkmrk.do”为名存到在基本仿真那课创建的目录里。

    2. 加载test_counter设计单元。

    a) 启动ModelSim。

    b) 选择File > ChangeDirectory 切换至上面第一步保存DO文件的目录。

    c) 在QuestaSim>后输入vsim test_counter

    3. 执行DO文件并运行设计。

    a) 在VSIM>后输入do add_bkmrk.do。

    b) 在VSIM>后输入run 1500 ns

    仿真运行,DO文件创建两个书签。

    c) 如果波形窗口停靠在主窗口,激活它,然后选择Wave > Bookmarks>bk1。如果那个窗口独立出来了,选择View > Bookmarks > bk1.

    查看波形窗口,放大并滑到count是00100111的时刻。

    同样试试bk2。

    本课小结

    本课到此结束。

    1. 选择File > Quit关闭ModelSim.

    1. 常用仿真命令

    vlib work    // 建立work仿真库

    vmap work wrok   // 映射库

    vlog   -cover  bcest  *.v    // 加覆盖率分析的编译

    vsim  -coverage  -voptargs="+acc"  -t ns  test   // 仿真文件为test.v

    add wave  *     // 将所有模块waveform. dump出来

    add wavesim:/test/t/M2/Reg_out        // 将模块Reg_out中的waveform. dump出来

    delete  wave  /test/i

    2. SVA 断言仿真命令

    vlog  -sv  a.v

    vsim  -assertdebug  test

    view  assertions

    vsim  -assertdebug  ScaleBlock_tf  -L xilinxcorelib_ver  -L  unisims_ver   // 加载xilinxlib库

    3. verror  3601   // 查错

    4. 给仿真工具加载xilinx 库命令

    (1)加载之前将modelsim.ini改为非“只读”

    (2)“运行” cmd,到xilinx目录下

    (3) C:Xilinx >  compxlib  -s  mti_se  -p c:Modeltech_6.0win32  -f  all  -l  verilog  -o C: Modeltech_6.0Xilinx_lbis

    或者  Xilinx目录下.in t下有compxlib.exe

    简单得modelsim命令行仿真

                                          

    用do文件进行仿真真得很方便,比写testbench方便多了,我是深有感触呀,开始时因为不知道,只知道写testbence,在小得模块也写testbench,真得很烦躁!而且信号定义什么得比较多,采用do文件得方法就没有那么多信号定义了,管理也比较方便,呵呵,真得很方便,而且采用命令行得形式,感觉特有成就感,呵呵!

    1.运行仿真,在主窗口输入命令:vsim work.实体名

    2.为时钟信号添加驱动,输入命令:force clk 0 0,1 10 -r 20,将仿真时钟设为50MHz;(设时间单位为ns)

    3.打开波形窗口,输入命令:view wave

    4.为波形窗口添加信号,输入命令:add wave -hex *,这里的*表示添加设计中所有的信号,-hex表示以十六进制来表示波形窗口中的信号值;

    5.开始仿真,输入命令,run 3us,这时候在波形窗口中出现仿真波形

    6.退出仿真,输入命令:quit –sim。

    modelsim常用命令

    分类: Verilog/FPGA2010-05-26 10:49 354人阅读 评论(1) 收藏举报

    do文件进行仿真真得很方便,比写testbench方便多了,采用do文件没有那么多信号定义,管理也比较方便.

     

    1.运行仿真,在主窗口输入命令:vsim work.实体名

    2.为时钟信号添加驱动,输入命令:force clk 0 0,1 10 -r 20,将仿真时钟设为50MHz(设时间单位为ns)

    3.打开波形窗口,输入命令:view wave

    4.为波形窗口添加信号,输入命令:add wave -hex *,这里的*表示添加设计中所有的信号,-hex表示以十六进制来表示波

    形窗口中的信号值;

    5.开始仿真,输入命令,run 3us,这时候在波形窗口中出现仿真波形

    6.退出仿真,输入命令:quit –sim //很常用!!

    7.查看错误详细信息: verror **(错误数字代号)

    --vlib - 建立一个新的工作库。
    如:vlib work    
       
    在当前目录建立逻辑库work,运行后会在当前目录下找到work文件夹。

    --vmap - 映射逻辑库名到指定的目录

    --vsim - 启动仿真
    如:vsim -c -l vsim.log -do ./YourDo.do -L./work work.foo
       
    开始仿真,-c 选项让vsim工作在commandline模式;-l 选项是输出log文件到vsim.log -do 选项是开始仿真后运行tcl脚本文件;-L 选项是指定工作逻辑库;work.foo是仿真的top level module

    odelSim之命令行仿真入门
    下面是我们的Tcl仿真步骤:
    启动ModelSimSE, 首先看到在在ModelSim SE右边的窗口有ModelSim> 这样的提示符。在提示符后,顺序运行以下命令:
        vlib work  该命令的作用是在该目录下建立一个work目录,请注意不要用操作系统来新建一个work的文件夹,因为用操作系统建立的work文件夹并没有ModelSim SE自动生成的_info文件。
        vmap work work    该命令的作用是将目前的逻辑工作库work和实际工作库work映射对应。
        vlog camera.v  camera_tb.v  该命令的作用是编译这些文件,要注意的是文件可以单独分开编译,但是一定要先编译被调用的文件。假如是VHDL文件就可以用vcom file1,file2命令来编译。
        vsim camera_tb  仿真命令, 注意后面的参数必须为camera_tb.v文件中的模块名。
        add wave/camera_tb/ * 该命令的作用是将testbench文件camera_tb.v中模块camera_tb下所有的信号变量加到波形文件中去,注意在“*”前要加空格。这时候你也可以看到wave文件被打开。当然也可以单个信号的添加,例如添加时钟:add waveclk 等等。
        run 2000  该命令的作用是运行2000个单位时间的仿真。也可以用run –all命令来一直仿真下去。
        这时候就可以在wave窗口文件中看到你的仿真结果。
        当然也可以观察其它窗口的结果,用view命令显示    
         view * 观察包括signals、wave、dataflow等窗口文件。也可以分别打开。例如用view signals来观察信号变量。

    ModelSim仿真常用命令以及仿真脚本的编写

    在我们用ModelSim仿真的时候经常是修改一点一点修改代码,这样会造成一个无奈的操作循环:修改代码--->编译代码--->仿真设置--->进入仿真页面--->添加需要观察的波形--->运行仿真。如果仿真结果不理想,还得需要重新修改代码,重复上述的操作。

    计算机擅长做重复的事情,为什么不让计算机代劳呢?

    我们可以参照Xilinx ISE是如何调用ModelSim进行仿真的,尤其是脚本的编写。

    下面一个脚本是我用ISE10.1建立了一个AES256的工程,然后在调用ModelSim6.5的时候,ISE会生成这几个脚本文件,AES256_tb.fdo,AES256_tb_wave.fdo 和AES256_tb.udo。

    下面的代码是AES256_tb.fdo文件的内容。

    1: vlib work #创建名字是work的库,这个仿真之前必须做的
       2: vcom -explicit  -93 "SBOX_ROM.vhd"  #编译vhd代码
       3: vcom -explicit  -93 "Inv_SBOX_ROM.vhd"
       4: vcom -explicit  -93 "AES_package.vhd"
       5: vcom -explicit  -93 "subbytes.vhd"
       6: vcom -explicit  -93 "SBOX_ROM_reg.vhd"
       7: vcom -explicit  -93 "round_key_BRAM.vhd"
       8: vcom -explicit  -93 "Inv_subbytes.vhd"
       9: vcom -explicit  -93 "Keyexpansion_Yao.vhd"
      10: vcom -explicit  -93 "AES256_ENC_DEC.vhd"
      11: vcom -explicit  -93 "AES256_TOP.vhd"
      12: vcom -explicit  -93 "AES256_tb.vhd"
      13: vsim -t 1ps   -lib work AES256_tb 
    #进入仿真设置,时间单位为1ps,库指定为work,AES256_tb就是指你的top层设计的名字
      14: do {AES256_tb_wave.fdo} #执行*.fdo文件,用来添加信号和变量或者内部的寄存器到波形(WAVE)窗口
      15: view wave #打开波形窗口
      16: view structure #打开架构(structure)窗口
      17: view signals   #打开信号列表窗口
      18: run 1000ns     #运行1000ns
      19: do {AES256_tb.udo} #运行用户定义的脚本

    只要编译的时候没有出现语法错误或者是找不到定义的库文件等错误提示,一般会很容易的看到仿真的波形,而不用手动进行操作。这样方便了仿真的整个过程,而无需用很多鼠标点击操作。

    现在通过一个具体的实例来说明如何运用脚本来实现ModelSim的仿真。

    工具版本:ISE10.1 ModelSim 6.5a

    1. 创建ISE工程

    首先通过ISE创建test.vhd test_tb.vhd文件并添加到工程中,这里不细说如何创建HDL源代码。

    然后配置ISE的仿真器,右键选中FPGA芯片,点击properties,然后在Simulator选择Modelsim-SEVHDL

    1.工程属性

    source窗口选择“BehavioralSimulation”,可以看到工程中的test_tb.vhdtestbench文件)。选中test_tb.vhd文件,在Process窗口中双击SimulateBehavioral ModelISE开始调用ModelSim,这是ISE自动生成了三个脚本文件:test_tb.fdo, test_tb_wave.fdotest_tb.udo

     
    2.Behavioral Simulation 窗口

    看看三个脚本文件的内容吧。
    test_tb.fdo
    内容如下:

       1: vlib work    #添加library
       2: vcom -explicit  -93 "test.vhd"  #编译test的顶层文件
       3: vcom -explicit  -93 "test_tb.vhd" #编译test_tbtestbench文件
       4: vsim -t 1ps   -lib work test_tb   #进入仿真,时间单位1ps,仿真test_tb
       5: do {test_tb_wave.fdo}             #执行*.fdo脚本文件,用于添加仿真波形
       6: view wave                         #打开波形窗口
       7: view structure                    #打开structure窗口                       
       8: view signals                      #打开信号窗口
       9: run 1000ns                        #仿真运行1000ns
      10: do {test_tb.udo}                  #执行*.udo脚本文件,用于执行用户定义的脚本命令

     

    test_tb_wave.fdo内容如下():

       1: ## Project Navigator simulation template: test_tb_wave.fdo
       2: ## You may edit this file to control your simulation.
       3: add wave *  ##添加Top层所有的端口信号

    test_tb.ufo内容为空白,是留着给用户自己添加。

    对我们来说最有用的就是test_tb.fdo文件了,只要稍微修改就可以成为一个针对这个工程的很好的用于仿真的脚本。当然我们可以自己手动来编写类似的脚本,用ISE自动生成主要是为了涂个省事。

    2.调用ModelSim进行仿真

    在图2中,Process窗口中双击SimulateBehavioral Model,进入ModelSim仿真环境。请仔细观察ModelSim Transcript窗口中消息的输出。内容如下:

       1: # do {test_tb.fdo}   #<-------执行脚本文件
       2: # ** Warning: (vlib-34) Library already exists at "work".
       3: # Model Technology ModelSim SE vcom 6.5 Compiler 2009.01 Jan 22
    2009  #<-----编译test.vhd得到的message
       4: # -- Loading package standard
       5: # -- Loading package std_logic_1164
       6: # -- Loading package std_logic_arith
       7: # -- Loading package std_logic_unsigned
       8: # -- Compiling entity test
       9: # -- Compiling architecture test of test
      10: # Model Technology ModelSim SE vcom 6.5 Compiler 2009.01 Jan 22
    2009 #<-----编译test.vhd得到的message
      11: # -- Loading package standard
      12: # -- Loading package std_logic_1164
      13: # -- Loading package std_logic_arith
      14: # -- Loading package std_logic_unsigned
      15: # -- Compiling entity test_tb
      16: # -- Compiling architecture behavior of test_tb
      17: # vsim -lib work -t 1ps test_tb                #仿真设置命令行
      18: # Loading std.standard
      19: # Loading ieee.std_logic_1164(body)
      20: # Loading ieee.std_logic_arith(body)
      21: # Loading ieee.std_logic_unsigned(body)
      22: # Loading work.test_tb(behavior)#1            #加载test_tb
      23: # .main_pane.wave.interior.cs.body.pw.wf      #打开wave窗口
      24: # .main_pane.structure.interior.cs.body.struct #列出structure
      25: # .main_pane.objects.interior.cs.body         

    注:在test_tb.fdo的每一行都可以在ModelSim Transcript窗口中分开一行一行执行。

    然后可以观察到仿真波形文件。

    3.仿真波形

    3. 调试代码

    或许仿真出来的结果不是我们想要的,必须的修改代码,然后再仿真。那我们应该怎么办呢?

    trasncript窗口输入:

       1: quit -sim      #退出仿真
       2: do test_tb.fdo #修改代码完成后重新执行该脚本进行仿真

    在仿真的波形的时候,我们不仅仅希望看到Top层设计的端口信号的波形,还希望能观察到内部信号是如何变化的,所以我们在Sim窗口中找到内部的信号放到wave中进行观察。但是如果我们重新运行当初test_tb.fdo文件,我们又再一次只能看到Top层设计的端口信号的波形,而内部信号的波形已经被删除。那我们应该如何保存和运用我们仿真波形文件呢?

    我现在需要观察内部的一个寄存器输出:tmp信号,见图4Ctrl+S,保存波形文件。默认保存为工程目录下/wave.do,但是我们将用波形文件保存为test_tb_wave.fdo文件,这样做的好处可以不用修改test_tb.fdo文件。

    4.内部信号观察

    我们重新运行test_tb.fdo脚本文件之后,输出的波形是图4,而不是图3

    差不多常用的VHDL仿真命令都用上了,我相信这样做肯定会提高仿真的效率。如果想要熟悉更多的ModelSim的仿真命令,请查看ModelSim的用书手册。

    【翻译】ModelSim指南 VI (ModelSim)(Verilog)(Digital Logic)

    作者:yf.x  来源:博客园  发布时间:2011-03-09 16:20  阅读:307 次  原文链接   [收藏]  

    8

    自动仿真

    简介

    前面的课程主要讲使用ModelSim的交互模式:通过图形界面或主窗口的命令行一条条的执行单一的命令。当需要完成重复的任务时,可用DO文件提高效率。

    DO文件是一次执行多条命令的脚本。这个脚本可以像带有相关参数的一系列ModelSim命令一样简单,或者是带有变量,执行条件等等的Tcl程序。可在GUI里或系统命令提示符后执行Do文件。

    注意:

    本课假设你已经添加<install_dir>/modeltech/<platform>到你的环境变量的PATH。否则,要指定工具(如,vlib,vmap, vlog,vcom,和vsim)的绝对路径。

    扩展阅读

    用户手册:Tcland Macros ( DO Files )。

    PracticalProgramming in Tcl and Tk, Brent B. Welch, Copyright 1997

    创建一个简单的DO文件

    创建DO文件就像在文本文件里输入命令一样。或者,可保存主窗口的transcript作为一个DO 文件。本练习将用在主窗口transcript输入的命令来创建一个DO文件以添加信号到波形窗口,添加激励,然后仿真。

    1. 加载test_counter设计单元。

    a) 启动ModelSim。

    b) 切换目录至在基本仿真那课创建的目录。

    c) 输入vsim test_counter来加载设计单元。

    2. 输入命令给波形窗口添加信号,激励信号,然后运行仿真。

    选择File> New > Source > Do来创建一个新的DO文件。

    在源码窗口输入以下命令:

    add wave count

    add wave clk

    add wave reset

    force –freezeclk 0 0, 1 {50 ns} –r 100

    force reset 1

    run 100

    force reset 0

    run 300

    force reset 1

    run 400

    force reset 0

    run 200

    3. 保存文件。

    a) 选择File > Save As。

    b) 在File name栏输入sim.do并保存到当前目录。

    4. 再次加载仿真并使用DO文件。

    a) 在VSIM>后输入quit –sim。

    b) 在ModelSim>后输入vsim test_counter。

    c) 在VSIM>后输入do sim.do。

    ModelSim执行保存的命令并在波形窗口生成波形。

    5. 当完成本练习,选择File > Quit退出ModelSim。

    在命令行模式运行

    1. 创建一个新目录并拷贝文件。

    开始本练习前,创建一个新目录,并拷贝以下文件:

    l/<install_dir>/examples/tutorials/verilog/automation/counter.v

    l/<install_dir>/examples/tutorials/verilog/automation/stim.do

    2. 创建一个新的设计库并编译源文件。

    在系统提示符后输入以下命令。

    a) 输入vlib work。

    b) 输入vlog counter.v。

    3. 创建一个DO文件。

    a) 打开文本编辑器。

    b) 输入以下内容:

    # list allsignals in decimal format

    add list–decimal *

    # read instimulus

    do stim.do

    # output results

    write listcounter.lst

    #quit thesimulation

    quit –f

    c) 把文件命名为sim.do,然后保存在当前文件夹。

    4. 运行批处理仿真

    a) 在提示符后输入以下命令:

    vsim –c –dosim.do counter –wlf counter.wlf

    这里-c表示不打开GUI。-wlf保存仿真结果为一个WLF文件。调试时可在GUI查看仿真结果。

    5. 查看输出列表。

    a) 打开counter.lst并查看仿真结果。类似下图:

    6. 在GUI下查看结果。

    如果在counter.wlf保存了仿真结果,就可在GUI下通过-view参数查看。

    注意:

    确定你的环境变量的PATH设置的路径为当前ModelSim的路径。

    a) 在系统提示符后输入vsim –view counter.wlf。

    打开GUI和一个名为“counter”资料组标签(图8-1)。

    b) 右击counter实例并选择Add > To Wave > Allitems in region。

    波形窗口显示波形。

    7. 当查看完结果。选择File > Quit关闭ModelSim。

    在仿真器里使用Tcl

    前面的练习的DO文件只使用了ModelSim的命令。但是,DO文件实质上是Tcl脚本。可以包含很多Tcl结构,比如程序,条件运算符,数学和三角函数,正则表达式等等。

    本练习创建一个Tcl脚本,用来识别信号的确定值,如果存在,在波形窗口放置标签。标签可将波形窗口的滑动和放大区域记录下来。

    1. 创建脚本。

    a) 在文本编辑器,新建一个文件并输入以下行:

    procadd_wave_zoom {stim num} {

    echo “Bookmakingwave $num”

    bookmark addwave “bk$num” “[expr $stime – 50] [expr $stime +

    100]” 0

    }

    这些命令的作用:

    l 创建一个名为“add_wave_zoom”的程序,它有stime和num两个参数。

    l 从当前仿真时间的前50个单位时间到后100个单位时间的范围创建一个书签。

    b) 在脚本末添加以下行:

    add wave –r /*

    when {clk’eventand clk=”1”}{

    echo “Count is[xa count]”

    if {[examinecount]==”00100111”}{

    add wave_zoom$now 1

    } elseif {[examinecount]==”01000111”}{

    add_wave_zoom$now 2

    }

    }

    这些命令的作用:

    l 给波形窗口添加所有的信号。

    l 使用when语句识别clk跳变为1的时刻。

    l 在这些跳变检测count的值,如果它是某个确定值,添加一个书签。

    c) 将这个脚本以”add_bkmrk.do”为名存到在基本仿真那课创建的目录里。

    2. 加载test_counter设计单元。

    a) 启动ModelSim。

    b) 选择File > ChangeDirectory 切换至上面第一步保存DO文件的目录。

    c) 在QuestaSim>后输入vsim test_counter

    3. 执行DO文件并运行设计。

    a) 在VSIM>后输入do add_bkmrk.do。

    b) 在VSIM>后输入run 1500 ns

    仿真运行,DO文件创建两个书签。

    c) 如果波形窗口停靠在主窗口,激活它,然后选择Wave > Bookmarks>bk1。如果那个窗口独立出来了,选择View > Bookmarks > bk1.

    查看波形窗口,放大并滑到count是00100111的时刻。

    同样试试bk2。

    本课小结

    本课到此结束。

    1. 选择File > Quit关闭ModelSim.

  • 相关阅读:
    Best Practices for Background Jobs_3 Managing Device Awake State之电源锁、Alarm、WakefulBroadcastReceiver
    一种扩大View点击范围的方法
    IntentService
    DNS
    GPU硬件加速相关
    SOA 面向服务的体系结构
    android APK 文件的生成过程
    PHP简介
    代码安装apk文件
    View 的 focus 和 selected 状态, TabContainer实现
  • 原文地址:https://www.cnblogs.com/riskyer/p/3359721.html
Copyright © 2011-2022 走看看