Linux下的安装
Linux下的安装,以Ubuntu 16.04为例,可以通过apt-get直接安装。
- 安装iverilog:
sudo apt-get install iverilog
- 安装GTKWave:
sudo apt-get install gtkwave
查看是否安装成功
which iverilog
which vvp
which gtkwave
Icarus Verilog编译器主要包含3个工具:
- iverilog:用于编译verilog和vhdl文件,进行语法检查,生成可执行文件
- vvp:根据可执行文件,生成仿真波形文件
- gtkwave:用于打开仿真波形文件,图形化显示波形
iverilog参数:
找不到调用的模块,那么就需要指定调用模块所在文件夹的路径,支持相对路径和绝对路径。
iverilog -y ./ led_demo_tb.v
在顶层文件中加入如下代码,否则不能生成 vcd文件
initial
begin
$dumpfile("wave.vcd"); //生成的vcd文件名称
$dumpvars(0, modem_top); //tb模块名称
end
执行步骤:
iverilog -y ./ led_demo_tb.v
./ a.o
gtkwave wave.vcd