zoukankan      html  css  js  c++  java
  • Xcelium license

    Xcelium_Limited_Single_Core
    XceliumLimitedSingleCoreLegacy
    Xcelium_Single_Core
    Xcelium_Single_Core_Legacy
    Xcelium_Safety
    Xcelium_Safety_Option
    Xcelium_Multi_Core
    Xcelium_For_Partners
    Xcelium_SC_DMS_Option
    Xcelium_MC_GLS_SDF_Option
    Xcelium_MC_LP_Option
    Xcelium_MC_DFT_Option
    Integrated_Metrics_Center

     Incisive 三階段模擬轉用 Xceliumxrun,環境設定似有所差異,因而遇到些許挫折。網路上可參考的教案,或例子又不多。茲就 Opencores I2C 當例子,嘗試出一個流程,供初次使用時當參考,亦拋磚引玉,請大家共提建議。
     
       過程中有兩處尚未解決處,先提出,就教高手。
    其一是 $mce_fsdbDumfile(), $mce_fsdbDumpvars(),$mce_recordfile(), $mce_recordvars(), …. 等系統呼叫涵式,出自何資料庫? 這一直未試出。
    其二是 Indago FEATURE ULMdelta, LMecho, ULMhotel,ULMindia, ULMjuliette, ULMmike, Indago_DA_App 已加入 license.dat, 但執行 ${CDS_INST_DIR}/tools/simvision/bin/64bit/indigo時還是出現:  Fatal Error:
      Could not check out license: Cannot checkout feature Indago_DA_App。Xcellium version: 18.03.001
     
      執行 xrun 流程如下:
    1. cds.lib中建立邏輯資料庫與實際硬碟目錄對應:
        define i2c           ./xcelium.d/i2c
        define worklib     ./xcelium.d/worklib
    2. hdl.var中建立 RTL 原始檔目錄與邏輯資料庫對應,並設立選項參數:
        define LIB_MAP  ( ../rtl => i2c )
        defineLIB_MAP   ($LIB_MAP, + => worklib )
        define XRUNOPTS  -message -access +rwc  -uvmlinedebug -linedebug -uvm
    3. 最上層 tst_bench_top.v 加入 fsdb file 產生涵式:
      reg [32*8-1:0]    fsdbfile;
      integer            fd_fsdbfile;
      initial begin
        if ($test$plusargs ("fsdbfile"))begin
          fd_fsdbfile =$value$plusargs("fsdbfile+%s", fsdbfile);
          $display("FSDBFILE is %s",fsdbfile);
          $fsdbDumpfile(fsdbfile);
          $fsdbDumpvars("level=", 0,tst_bench_top);
        end
      end
    4. 編譯模擬流程建於 Makefile 中,所以先執行
        make cmp_rtl          // 編譯 RTL
        make tb_i2c_novas  // 編譯與執行測試檔,與產生 fsdb file
        make tb_i2c_ida      // 編譯與執行測試檔,並產生 ida.db dir

  • 相关阅读:
    搭建kafka高级消费 (high-consumer)php7
    kafka搭建到配置borker集群(项目开发-区块链)
    快速提高谷歌浏览器(Chrome)自带下载器的网速
    利用IO和File类实现拷贝文件目录问题
    随机红包小算法
    二叉树前序中序后序层序遍历问题
    荷兰国旗问题
    二分法查找
    找出数组中最大值and索引
    数组元素反转
  • 原文地址:https://www.cnblogs.com/yylei/p/14490181.html
Copyright © 2011-2022 走看看