zoukankan      html  css  js  c++  java
  • Modelsim 6.x中Signal看不到输出输入信号的解决办法(转载)

    你是否正在为modelsim根本无视你认真写出的完全符合规定的驱动变量而苦恼?因为这些testbench以前都运行的好好的。原来这都不是你的错,而是Modelsim 6.4里修改了参数设置造成的(这帮吃饱了饭撑得家伙)。

    网上能搜到的一般是修改安装目录下Modelsim.ini,将voptflow的值从1改成0,这是用来关闭自动优化的。无数人跟在帖子后面声泪俱下的喊“谢谢lz”但是不知道他们都用得是啥,反正这招对于我来说,一点用也没有。 
    第二招是不要双击work里的tb来仿真,而是用菜单的Start Simulation,在弹出框里把下面的Enable Optimulation勾去掉,或执行"sim -vopt"这一招立竿见影,所有的信号都乖乖的出来了。但是不知道为啥这设置不能保存,每次仿真都要来一遍。 
    第三招是在sim选项里,有一项可视范围,选择全部design full debug mode,于是我们看到全部变量又都乖乖跑出来了,连定义的参数都跑出来了,也不管我们叫没叫它。但是这也和上一招一样,不是永久性的。EDA中国门户网站

    体会:我一直在使用modelsim se 5.8,但是之前同学遇到过这样的问题,今天群里有个朋友也遇到了,所以查了一下,所以转载过来,以便更多朋友能尽快解决问题~~~~~~~

    ps:此文章是我从我的另一个博客上搬过来的~~~~~~

  • 相关阅读:
    Memcached下载、安装及使用演示。
    Redis和Memcache对比及选择
    Log4Net.Config配置信息《转》
    常用正则表达式!收藏
    关于ViewData,ViewBag,TempData三者学习记录!
    C#实战Microsoft Messaging Queue(MSMQ)消息队列(干货)<转>
    C# AutoResetEvent
    C#英文面试常见问题[转]
    Highsoft.Highcharts 5.0.6439.38401 key
    vs2017安装后自动应用许可证
  • 原文地址:https://www.cnblogs.com/zhangxiujun/p/3710317.html
Copyright © 2011-2022 走看看