zoukankan      html  css  js  c++  java
  • 显示进制修改,添加中间变量波形,常用命令modelsim

    有二种方式波形文件显示的数值的进制,第一种是直接修改配置文件,第二种是使用do文件时,指定波形的显示进制

    第一种方法:

    可以通过修改modelsim安装目录下面的modelsim.ini文件里面的DefaultRadix 值实现

    ; Default radix for all windows and commands.
    ; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
    DefaultRadix = symbolic

    其默认值为symbolic,如果希望得到16进制数据显示方式,将默认值修改为hex即可。

    第二种方法:

    在使用do文件进行仿真时,在添加信号到波形的这一步骤中,可以指定信号的显示方式

    如:

    add wave -hex /pulse_out_top_inst/Act_x //Act_x的波形数据将会以十六进制的方式显示
    add wave -decimal /pulse_out_top_inst/Act_y //Act_y的波形数据将会以十进制的方式显示

    添加中间变量波形:

    ##xilinx调用modelsim自动生成的**.fdo文件

    ## Autogenerated by ProjNav (creatfdo.tcl) on Sat May 24 23:14:26 中国标准时间 2014
    ##
    vlib work
    vlog +acc "ram01.v"
    vlog +acc "tb.v"
    vlog +acc "C:/Xilinx92i/verilog/src/glbl.v"
    vsim -t 1ps -L xilinxcorelib_ver -L unisims_ver -lib work tb_v glbl
    view wave
    add wave -hex *  ##原始的添加所有输入输出信号
    add wave -hex /tb_v/uut/DIPA  ##添加模块/tb_v/uut/下的中间信号
    ##add wave /glbl/GSR
    do {tb_v.udo}
    view structure
    view signals
    run 1000ns  ##可以更改仿真时间,默认1000ns

    ##编辑完文件后保存,在transcript窗口中运行do **.fdo即可

    常用命令

    quit -f/-force 退出ModelSim

    quit -sim  退出仿真

    vlib work                                 // 建库
    vmap work work                      // 映射
    vlog camer.v camera_tb.v         // 编译
    vsim camera_tb                      // 仿真(模块名称)
    add wave/camera_tb/ *            // 将camera_tb下的所有信号变量加入到wave窗口中,注意”*”前必须有空格 //add wave sim:/div_tb/*       //*前面没有空格
    run 2000                  // 或者用run –all等。
    view  dataflow             // 用navigate  nets观察dataflow,不想观察就可以不加这条指令

  • 相关阅读:
    C/S WinFORM 快速开发框架 MyRapid快速开发框架更新日志 ---数据权限控制
    C/S WinFORM 快速开发框架 MyRapid快速开发框架更新日志 ---自动生成操作手册
    C/S WinFORM 快速开发框架 MyRapid快速开发框架更新日志 ---添加模块流程图
    代码分享:给窗体添加水印
    版本需更新提醒
    如何做好软件自动更新
    做项目管理踩过的坑
    团队开发的代码管理(VS)
    Java8尽管很香,你想过升级到Java11吗?会踩那些坑?
    这个 Spring 循环依赖的坑,90% 以上的人都不知道
  • 原文地址:https://www.cnblogs.com/zhangxiujun/p/3750649.html
Copyright © 2011-2022 走看看