zoukankan      html  css  js  c++  java
  • [转帖]如何在quartusII中调用modelsimaltera_百度文库

    2013-04-18   13:28:19

    在使用quartusII直接链接到modelsim进行仿真时,出现的问题是模块与外部连接的信号找不到,原因不清楚,不过好像把这个模块的命名改成其他就可以


    来源:http://www.e-works.net.cn/Articles/EDA/Article87623.htm

     1 Altera官网下载两款软件并安装
       
        下载网址:https://www.altera.com/download/software/modelsim/zh_cn,选择Quartus II 网络版、ModelSim-Altera入门版。目前这两款软件都是免费的,不需要许可证,安装简单,使用期限是30天。
       
        【注意】务必记住安装的路径,特别是ModelSim-Altera的安装路径。
        
        2 指定ModelSim-Altera 6.5e的安装路径
       
        打开Quartus II 11.0软件,新建工程和文件并保存。
       
        1.然后在菜单栏选择 tools->options;
       
        2.在options选项卡中选中EDA tool options;
       
        3.在该选项卡中下面的ModelSim-Altera一项指定安装路径为E:/Altera/11.0/modelsim_ae/win32aloem(其中E:/Altera/11.0/modelsim_ae/为我电脑中ModelSim-Altera 6.5e的安装路径)
       

        图1 指定ModelSim-Altera 6.5e的安装路径  

    图1 指定ModelSim-Altera 6.5e的安装路径
     

        【注意】 如果没有指定ModelSim-Altera 6.5e的安装路径,调用ModelSim-Altera的时候会出现如下的错误提示:
       

    图2 没有指定安装路径的错误提示

    图2 没有指定安装路径的错误提示   

        3 指定Quartus II 11.0仿真软件
       
        在Quartus II 11.0界面菜单栏中选择Assignments->Settings。
       
        1.选中该界面下EDA Tool settings中的Simulation一项;
       
        2.Tool name中选择ModelSim-Altera;
       
        3.Format for output netlist中选择开发语言的类型Verilog或者VHDL等,
       
        4.Time scale 指定时间单位级别
       
        5.Output directory指定测试文件模板的输出路径(该路径是工程文件的相对路径)。
       

    图3 指定Quartus II 11.0仿真软件

    图3 指定Quartus II 11.0仿真软件   

        4 生成仿真测试文件
       
        选择Quartus II 11.0开发界面菜单栏下Processing->Start->Start Test Bench Template Writer,提示生成成功。
       

    图4 生成仿真测试文件

    图4 生成仿真测试文件   

    分页
        5 配置选择仿真文件
       
        打开仿真测试文件(在上述3中指定的Output directory 目录下找到后缀名为".vt"的文件)并根据自己需要进行编辑。
       
        1. 在Quartus II 11.0界面菜单栏中选择Assignments->Settings->EDA Tool settings->Simulation;
       
        2.选择Compile test bench右边的Test benches;
       

    图5  配置选择仿真文件(1)

    图5  配置选择仿真文件(1)
       

        3.然后在出现的界面中选择New,在新出现的界面中Test bench name 输入测试文件名字,在Top level module in test bench 栏中输入测试文件中的顶层模块名;
       
        4.选中Use test bench to perform VHDL timing simulation并在Design instance name in test bench中输入设计测试文件中设计例化名默认为i1;
       
        5.然后在Test bench files栏下的file name 选择测试文件(在第3步中指定的测试文件输出路径下的后缀名为" .vt " 文件的测试文件),然后点击add,一步一步OK。
       

    图6 配置选择仿真文件(2)

    图6 配置选择仿真文件(2)   

        【注意】Test bench name和Top level module in test bench 以及Design instance name in test bench分别为".vt"文件的文件名、vt文件中顶层实体模块名、Verilog或者VHDL文件中的模块的例化名。
       
        6 仿真文件配置完成后回到Quartus II 11.0 开发界面
       
        在Quartus II 11.0界面菜单栏中选择菜单栏Tools中的Run EDA Simulation Tool->EDA RTL Simulation 进行行为级仿真,接下来就可以看到ModelSim-Altera 6.5e的运行界面,观察仿真波形。

  • 相关阅读:
    js判断是否是合法的端口号
    解决tomcat中文乱码
    使用java代码删除nexus maven仓库中的jar包、pom.xml等组件
    基于 Kubernetes 构建企业 Jenkins 持续集成平台
    minio部署
    elaticsearch 部署
    skywalking 8.0 配置文件
    使用node local dns来提升ClusterDNS服务质量
    kubernetes之监控Operator部署Prometheus
    夜莺监控+prometheus 架构图
  • 原文地址:https://www.cnblogs.com/zlh840/p/2654168.html
Copyright © 2011-2022 走看看