zoukankan      html  css  js  c++  java
  • UVM环境(一)

    1)如何避免绝对路径的出现:绝对路径一般都是用在信号的连接关系上,这样可以用virtual interface,来通过句柄的赋值来动态的建立连接关系。那么顶层模块怎么

                                         样将interface的句柄赋值给driver/monitor,通过config_db,必须显式的指出路径完成句柄赋值。而且config_db是一个参数化的

                                         类,参数类型是要传输的类型。set和get是两个静态function。一般放在test的initial过程块中或其他build_phase完成参数配置。

    2)objection机制:成对出现的raise_objection和drop_objection,通过event事件的触发来控制phase的运行时间。(限制:必须在第一个消耗仿真时间的语句之

                            前,进行raise)。

    3)factory机制:使用宏定义机制uvm_component_utils(),注册组件在UVM环境中,这样config_db的时候也才找得到路径。当运行run_test(env)时,UVM开始

                          从自身的build_phase开始执行各个phase,所以一般在env的build_phase中引入new(),构建各个组件。相对于component而已,UVM中的顶

                          层是uvm_test_top。另一类的宏定义是uvm_object_utils。

                         主要通过参数化的类这样的方式来实现,即通过字符串的名字来实例化类

    4)phase机制:UVM中的phase都是一个个function/task,只不过UVM对它们有特殊的event联系,可以直接通过run_test()启动,并严格按已规定好的执行顺序来执行

  • 相关阅读:
    起步学习软件开发(.Net 方向)的指导
    Lesktop开源JS控件库
    软件岗位职责
    asp.net 获取网站根目录总结
    微软是怎样做测试的
    打造WebIM
    CodeSmith 系列一
    Crack .NET
    Visual Studio IDE 实用小技巧3
    程序员公司的选择
  • 原文地址:https://www.cnblogs.com/-9-8/p/4434680.html
Copyright © 2011-2022 走看看