zoukankan      html  css  js  c++  java
  • STM32F10X SPI操作flash MX25L64读写数据(转)

    源:STM32F10X SPI操作flash MX25L64读写数据

      前一段时间在弄SPI,之前没接触过嵌入式外围应用,就是单片机也只接触过串口通信,且也是在学校的时候了。从离开手机硬件测试岗位后,自己一直想在嵌入式方面发展,在1月4号开始自己的第二份工作后,首先接触到的是为STM32F103写SPI控制flash读写操作,现记下曾经的脚印,希望以后能少走弯路!心得:细心活!

      简单的一种应用,ARM芯片作为master,flash为slaver,实现单对单通信。ARM主控芯片STM32F103,flash芯片为MACRONIX INTERNATIONAL的MX25L6465E,64Mbit。

      SPI应该是嵌入式外围中最简单的一种应用了吧!一般SPI应用有两种方法:软件仿真,手动模拟产生时序和应用主控芯片的SPI控制器。

      一般采用第二种方法比较好,比较稳定。应用主控芯片的SPI控制器,要点:正确的初始化SPI、操作SPI各寄存器和正确理解flash的时序。下面是过程,采用的是STM32F10X自带的库函数

    1、初始化:void SpiFlashInitialzation(void);

      要知道硬件是怎么连接的,是SPI1还是SPI2连接到flash中去,通过连接图知道我们要操作的是SPI2。初始化大概3个部分,配置时钟;配置GPIO;配置SPI2。这里要注意的是,CS片选脚是作为普通的GPIO来使用,输出方式为“推挽式输出”,其他CLK,MISO,MOSI为“复用功能推挽式输出”;

    代码:

    void SpiFlashInitialzation(void)
    {
         /*初始化的SPI,GPIO结构体*/
         SPI_InitTypeDef  SPI_InitStructure;
         GPIO_InitTypeDef GPIO_InitStructure;
         
         RCC_APB1PeriphClockCmd( RCC_APB1Periph_SPI2,  ENABLE); /*在RCC_APB1ENB中使能SPI2时钟(位14)*/
         RCC_APB2PeriphClockCmd( RCC_APB2Periph_GPIOB,  ENABLE);/*因为与SPI2相关的4个引脚和GPIOB相*/
                                                                                                                            /*关,GPIOB时钟(位3),这句现在还不 */
                                                                                                                                 /*确定要不要,待调试时再确定              */
        /*上面这一句是必须的,因为CS脚是当做GPIO来使用的,2011-01-30调试*/
                                                                                                                            
        /*配置SPI_FLASH_CLK(PB13),SPI_FLASH_MISO(PB14),SPI_FLASH_MOSI(PB15)*/
        GPIO_InitStructure.GPIO_Pin=GPIO_Pin_13 | GPIO_Pin_14 | GPIO_Pin_15;
        GPIO_InitStructure.GPIO_Mode=GPIO_Mode_AF_PP;                    /*复用功能推挽式输出*/
        GPIO_InitStructure.GPIO_Speed=GPIO_Speed_50MHz;
        GPIO_Init( GPIOB, &GPIO_InitStructure);
        
        /*配置输入SPI_FLASH_CS(PB12)*/
        GPIO_InitStructure.GPIO_Pin=GPIO_Pin_12;
        GPIO_InitStructure.GPIO_Mode=GPIO_Mode_Out_PP;   /*推挽式输出*/
        GPIO_InitStructure.GPIO_Speed=GPIO_Speed_50MHz;
        GPIO_Init( GPIOB, &GPIO_InitStructure);
    
        SPI_FLASH_CS_SET;             /*不选flash*/
    
    
        /* SPI2配置 增加于2010-01-13*/
        /* 注意:  在SPI_NSS_Soft模式下,SSI位决定了NSS引脚上(PB12)的电平,
          *            而SSM=1时释放了NSS引脚,NSS引脚可以用作GPIO口*/
        SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex;   /*双线双向全双工BIDI MODE=0*/
        SPI_InitStructure.SPI_Mode = SPI_Mode_Master;                                 /*SSI位为1,MSTR位为1*/
        SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b;                              /*SPI发送接收8位帧结构*/
        SPI_InitStructure.SPI_CPOL = SPI_CPOL_High;                                     /*CPOL=1,CPHA=1,模式3*/
        SPI_InitStructure.SPI_CPHA = SPI_CPHA_2Edge;
        SPI_InitStructure.SPI_NSS = SPI_NSS_Soft;                                          /*内部NSS信号由SSI位控制,SSM=1*/
        SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_4;    /*波特率预分频值为4*/
        SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB;                                       /*数据传输从MSB位开始*/
        SPI_InitStructure.SPI_CRCPolynomial = 7;                                                      /*复位默认值*/
        SPI_Init(SPI_SELECT, &SPI_InitStructure);
    
        SPI_Cmd(SPI_SELECT,ENABLE);       /*使能SPI2*/
        
    
    }

    2、正确的操作SPI控制器;

      这里需要注意的是理解SPI状态寄存器,特别是SPI_SR位7忙标志位BSY要小心,每次操作SPI要先读SPI_SR,BSY不忙才可下一步,然后就是操作缓冲器了。这里还有一个问题曾经困扰了我好久,SPI的时序问题,就是CLK怎么输出时序,最后我的理解是SPI每发送一个字节,CLK就自动会产生时序,如果没发送,CLK也就停止,这样节省了功耗。于是,如果SPI要接收字节,就必须先要发一个字节,例如发一个SPI_DUMMY_BYTE,Dummy byte有些flash有定义有些没有,没有的话自己随便定义一个,只要不和命令字相同就可以了。

    u8 SpiFlashSendByte(u8 send_data);

    u8 SpiFlashReceiveByte(void);

    代码:

    /*******************************2011-01-13******************************/
    /*功能:       SPI发送一个字节
      *参数:       send_data:   待发送的字节
      *返回:       无*/
    u8 SpiFlashSendByte(u8 send_data)
    {
        /*检查Busy位,SPI的SR中的位7,SPI通信是否为忙,直到不忙跳出*/
        //while( SET==SPI_I2S_GetFlagStatus(SPI_SELECT, SPI_I2S_FLAG_BSY));
        
        /*检查TXE位,SPI的SR中的位1,发送缓冲器是否为空,直到空跳出*/
        while( RESET==SPI_I2S_GetFlagStatus(SPI_SELECT,SPI_I2S_FLAG_TXE));
    
        SPI_I2S_SendData(SPI_SELECT, send_data);                        /*发送一个字节*/
        
        /*发送数据后再接收一个字节*/
        while( RESET==SPI_I2S_GetFlagStatus(SPI_SELECT, SPI_I2S_FLAG_RXNE) );
        return( SPI_I2S_ReceiveData(SPI_SELECT) );
        
    }
    /*******************************2011-01-13******************************/
    /*功能:       SPI接收flash的一个字节
      *参数:       接收到的字节
      *返回:       无*/
    u8 SpiFlashReceiveByte(void)
    {
        /*检查RXNE位,SPI的SR中位0,确定接收缓冲器是有数据的*/
        return(SpiFlashSendByte(SPI_DUMMY_BYTE));
    }

    3、理解flash的读写操作

      首先,写数据之前必须要擦除,因为所有的flash只能从1变为0,擦除将flash全部置1,写的时候相应位置0。

      读写操作这部分,flash芯片手册详细的说明了操作步骤,需要注意的是:flash MX25L64的状态寄存器。对flash操作之前,先读flash_SR,确保WIP=0(flash空闲),对flash擦除、编程等操作确保WEL=1(flash能够接受擦出编程等操作)。

      在对flash进行写操作时,要理解一点:对flash写数据(也就是Page Program(PP),Command 02)是基于页(256bytes)为单位的,如果数据写到页的末尾,会从当前页的首地址继续开始写剩余的数据,这样就有可能造成成数据的丢失,注意就可以了!主要是理解手册中的这段话:The Page Program(PP) instruction is for programming the memory to be "0"......If the eight least significant address bits(A7-A0) are not all 0,all transmitted data going beyond the end of the current page are grogrammed from the start address of the same page(from the address A7-A0 are all 0).If more than 256 bytes are sent to the device,the data of the last 256-byte is programmed at the requtest page and previous data will be disregarded. If less than 256 bytes .......

    代码:

    /*********************************2011-01-29*****************************/
    /*功能:    在指定地址处开始从flash读取数据
        参数:     pData_from_flash,读取到的数据存放指针
                      address_to_read,  待读取的数据开始地址,地址格式有效位为:A23-A0
        返回:     指向读取到的数据指针pData_from_flash
      */
    void SpiFlashReadData( u8 *pData_from_flash, u32 address_to_read , u16 size_to_read)
    {
        /*先检查flash设备是否为忙,然后检查SPI控制器是否处于忙状态*/
        while( FLASH_SR_WIP==(SpiReadFlash_SR() & FLASH_SR_WIP) );/*读flash_SR*/
        while( SET==SPI_I2S_GetFlagStatus(SPI_SELECT, SPI_I2S_FLAG_BSY));/*读SPI_SR*/
    
        SPI_FLASH_CS_RESET;                                                                /*失能设备*/
            
        SpiFlashSendByte(SPI_COMMAND_READ);         /*发送读命令*/
        SpiFlashSendByte( (u8)((address_to_read & 0xFF0000) >> 16) );/*发送A23~A16*/
        SpiFlashSendByte( (u8)((address_to_read & 0xFF00) >> 8) );      /*发送A15~A8  */
        SpiFlashSendByte( (u8)(address_to_read & 0xFF) );                         /*发送A7~A0   */
    
        while( size_to_read>0 )
        {
            *pData_from_flash=SpiFlashReceiveByte();  /*读取数据*/
            pData_from_flash++;
            size_to_read--;
        }
            
        SPI_FLASH_CS_SET;
    }
    /*******************************2011-01-29******************************/
    /*功能:     往指定地址处开始写数据
       *参数:     pBuff_to_write:       指向待写入的数据指针
       *              address_to_write:   flash何处开始写数据的地址
       *              size_to_write:          写入的数据字节数
       *返回:     TRUE:    写入成功
       *               FALSE:  写入失败
       *注意:     size_to_write,必须小于FLASH_PAGE_SIZE的大小(256 bytes),如果数据写到页
       *              的末尾,会从当前页的首地址0x00继续写剩余的数据,这样就造成数据的丢失,
       *              所以调用此函数得确保这一情况不会发生
       */
    void SpiFlashWritePageData(u8 *pBuff_to_write,u32 address_to_write, u16 size_to_write)
    {
        /*先检查flash设备是否为忙,然后检查SPI是否处于忙状态*/
        while( FLASH_SR_WIP==(SpiReadFlash_SR() & FLASH_SR_WIP) );/*flash_SR*/
        while( SET==SPI_I2S_GetFlagStatus(SPI_SELECT, SPI_I2S_FLAG_BSY));/*SPI_SR*/
    
         /*获得对flash的写权限*/
        while( FLASH_SR_WEL != (SpiReadFlash_SR() &FLASH_SR_WEL) )
        {
            SpiFlashWriteEnable();                                                       /*如果WEL为复位,则置位*/
        }
    
        SPI_FLASH_CS_RESET;
        SpiFlashSendByte(SPI_COMMAND_PP);                                                  /*发送写PP命令*/
        SpiFlashSendByte( (u8)((address_to_write & 0xFF0000) >> 16) );   /*发送A23~A16*/
        SpiFlashSendByte( (u8)((address_to_write & 0xFF00) >> 8) );         /*发送A15~A8  */
        SpiFlashSendByte( (u8)(address_to_write  & 0xFF) );                          /*发送A7~A0   */
        while( size_to_write>0 )
        {
            SpiFlashSendByte(*pBuff_to_write);
            pBuff_to_write++;
            size_to_write--;
        }
        SPI_FLASH_CS_SET;
            
        /*2011-01-14*/
        /*检查设备已经写完才退出*/
        while ( FLASH_SR_WIP==(SpiReadFlash_SR() & FLASH_SR_WIP) );/**/
    
    }

     4、  读写操作完成了,大概也就完成了,其它的参考flash手册就OK啦,不在描述。

    另外,还有一种方法,是用软件模拟时序,这方法用在没有SPI控制器的单片机上很实用。

    void SpiSendOneByte(u8 send_byte)
    {
        _nop_();
        _nop_();
        //SPI_SCLK_RESET;
    
        /*第一个上升沿*/
        for( __IO u8  i=8; i>0; i-- )
        {
            SPI_SCLK_RESET;
            if( 0X00 != (send_byte & 0x80) )
            {
                SPI_MOSI_SET;
            }
            else
            {
                SPI_MOSI_RESET;
            }
            send_byte<<=1;
            SPI_SCLK_SET;
            _nop_();
            _nop_();
            _nop_();
        }
    }
    /*******************************************************************/
    /*Serial Modes Supported(for Normal Serial mode)*/
    /*                                    CPOL  CPHA
            Serial mode 0:           0          0
            Serial mode 3:           1          1
      */
      /*功能:  从高到低接收一个字节,高位先接收*/
      /*输出:  接收到的数据*/
      /*下降沿时,数据出现在SO,低电平的时候把数据读到*/
      u8 SpiGetOneByte(void)
    {
        __IO u8 get_byte=0;
    
        for( __IO u8  i=0; i<8; i++ )
        {
            get_byte<<=1;
            SPI_SCLK_RESET;
            _nop_();
            _nop_();
            _nop_();
            _nop_();
            _nop_();
            
            if( 1==SPI_MISO )
            {
                get_byte |= SPI_MISO;
            }
    
            SPI_SCLK_SET;
            _nop_();
            _nop_();
            _nop_();
            
        }
        
        return(get_byte);
    }
  • 相关阅读:
    spring3.1, hibernate4.1 配置备份,struts2.2.1,sitemesh 2.4.2
    java 动态AOP
    制作可以执行的 JAR 文件包及 jar 命令详解
    struts result Annotation 参考
    Android线段与矩形碰撞检测函数
    防止aspxspy木马列服务 iis信息 执行命令提权等操作
    博客园申请及页面定制CSS
    C# 中将月份格式化为英语缩写格式
    通过Web Service获取天气预报并朗读
    windows下html/javascript调用可执行程序
  • 原文地址:https://www.cnblogs.com/LittleTiger/p/4597458.html
Copyright © 2011-2022 走看看