zoukankan      html  css  js  c++  java
  • verdidebussy的使用技巧

    verdidebussy的使用技巧

    转载from 大西瓜FPGA

    大西瓜FPGA-->https://daxiguafpga.taobao.com

    fsdb display

    Debussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能显示波形或讯号值的变化。

    如何在modelsim里面产生.fsdb文件呢?可以在testbench文件里面输入如下代码:

    initial begin

    $fsdbDumpfile("Debussy.fsdb");//文件名称可以自行设定

    $fsdbDumpvars;

    end

    nWave工具的使用

    有几个操作知识点:

    1、改变信号位置,可以通过按住鼠标中键(即滚轮)进行移动。

    2、如果想改变某个信号的颜色显示,可以在左边选中该信号,然后在菜单栏中WaveForm-> Color/Pattern进行选择。

    3、右边波形窗口中有两条线,分别是黄线代表光标时间线(鼠标左键来点击),白色代表标记时间线(鼠标右键来点击),两者的数值在工具栏中有显示,并给出了两者之间的时间差。可以通过单击鼠标中建放大两者之间的显示,即铺满波形窗口。

    4、状态机波形显示的数值,不够直观,怎么能显示定义的参数名字呢?软件还是提供了这功能的。切换到nTrace窗口,执行Tools –> Extract Interactive FSM,弹出如下窗口,选中all stages就可以显示状态机定义的名字了。

    nTrace和nWave的交互使用

    Debussy的强大更在于各个功能窗口之间的交互。

    1. 最实用的是我们可以在nTrace的源代码中想查看某个信号的波形,我们可以选中后按住鼠标中键别松开,直接拖到nWave进行显示,非常方便。

    1. 在调试波形过程中发现错误,我们可以双击鼠标左键,软件会自动定位到源代码中的位置,便于我们调试。

    1. 在nTrace中,执行Source –> Active Annotation可以标出仿真结果在source code下方,非常利于我们调试。在波形中选择一个时间点,那么在代码下方显示的就是这个信号在此时间点的值或者趋势

    1. 此外,还打开了参数注释,执行Source –> Parameter annotation,在状态机参数下方显示定义的数值。

    5.在nTrace中,选中某个信号,如时钟信号clk_50M,选择下图红色矩形框中的箭头,可以实现在波形中前后移动。

  • 相关阅读:
    第七次作业-话费充值
    第七次作业-qq登录&跳转
    第六次作业
    第五次作业
    第四次作业
    jsp第二次作业
    第一次jsp作业
    第九次作业
    第八次作业
    第七次2作业
  • 原文地址:https://www.cnblogs.com/lantingyu/p/10782688.html
Copyright © 2011-2022 走看看