zoukankan      html  css  js  c++  java
  • 用于读取和写入文本文件Verilog代码

    系统函数$fopen用于打开一个文件,并还回一个整数指针.然后,$fdisplay就可以使用这个文件指针在文件中写入信息,写完后,则可以使用$fclose系统关闭这个文件

    例如:

    integer write_out_file;//定义一个文件指针
    integer write_out_file=$fopen("write_out_file.txt");
    $fdisplay(write_out_file,"@%hn%h",addr,data);

    $fclose("write_out_file");

    以上语法是将addr,data分别显示在"@%hn%h"中的2个%h的位置,并写入write_out_file文件指针所指向的write_out_file.txt中.

    从文件中读取数据,可以用 $readmemb       $readmemh  从文件中读入数据,该文件格式是一定的.

    reg[7:0] data[47:0];

    $readmemh("file_name.txt",data );

    就是将file_name.txt中的数据读入到data数组中,然后就可以使用这些数据了.

              还有一种方式可以把指定的数据放入指定的存储器地址单元内,就是在存放数据的文本文件内,给相应的数据规定其内存地址,形式如下:

    @address_in_hexadecimal   data

    @2f             20

    两个系统任务可以在仿真的任何时刻被执行使用,其使用格式共有以下六种:

                 1)     $readmemb("<数据文件名>",<存贮器名>);

                 2)     $readmemb("<数据文件名>",<存贮器名>,<起始地址>);

                 3)     $readmemb("<数据文件名>",<存贮器名>,<起始地址>,<结束地址>);

                 4)     $readmemh("<数据文件名>",<存贮器名>);

                 5)     $readmemh("<数据文件名>",<存贮器名>,<起始地址>);

                 6)     $readmemh("<数据文件名>",<存贮器名>,<起始地址>,<结束地址>);

    在这两个系统任务中,被读取的数据文件的内容只能包含:空白位置(空格,换行,制表格(tab)和form-feeds),注释行(//形式的和形式的都允许),二进制或十六进制的数字。数字中不能包含位宽说明和格式说明,对于$readmemb系统任务,每个数字必须是二进制数字,对于$readmemh系统任务,每个数字必须是十六进制数字。数字中不定值x或X,高阻值z或Z,和下划线(_)的使用方法及代表的意义与一般Verilog HDL程序中的用法及意义是一样的。另外数字必须用空白位置或注释行来分隔开。

    在下面的讨论中,地址一词指对存贮器(memory)建模的数组的寻址指针。当数据文件被读取时,每一个被读取的数字都被存放到地址连续的存贮器单元中去。存贮器单元的存放地址范围由系统任务声明语句中的起始地址和结束地址来说明,每个数据的存放地址在数据文件中进行说明。当地址出现在数据文件中,其格式为字符“@”后跟上十六进制数。如:

    @hh...h

    对于这个十六进制的地址数中,允许大写和小写的数字。在字符“@”和数字之间不允许存在空白位置。可以在数据文件里出现多个地址。当系统任务遇到一个地址说明时,系统任务将该地址后的数据存放到存贮器中相应的地址单元中去。

    对于上面六种系统任务格式,需补充说明以下五点:

    1)       如果系统任务声明语句中和数据文件里都没有进行地址说明,则缺省的存放起始地址为该存贮器定义语句中的起始地址。数据文件里的数据被连续存放到该存贮器中,直到该存贮器单元存满为止或数据文件里的数据存完。

    2)       如果系统任务中说明了存放的起始地址,没有说明存放的结束地址,则数据从起始地址开始存放,存放到该存贮器定义语句中的结束地址为止。

    3)       如果在系统任务声明语句中,起始地址和结束地址都进行了说明,则数据文件里的数据按该起始地址开始存放到存贮器单元中,直到该结束地址,而不考虑该存贮器的定义语句中的起始地址和结束地址。

    4)       如果地址信息在系统任务和数据文件里都进行了说明,那么数据文件里的地址必须在系统任务中地址参数声明的范围之内。否则将提示错误信息,并且装载数据到存贮器中的操作被中断。

    5)       如果数据文件里的数据个数和系统任务中起始地址及结束地址暗示的数据个数不同的话,也要提示错误信息。

    下面举例说明:

    先定义一个有256个地址的字节存贮器 mem:

    reg[7:0] mem[1:256];

    下面给出的系统任务以各自不同的方式装载数据到存贮器mem中。

    initial  $readmemh("mem.data",mem);

    initial  $readmemh("mem.data",mem,16);

    initial  $readmemh("mem.data",mem,128,1);

    第一条语句在仿真时刻为0时,将装载数据到以地址是1的存贮器单元为起始存放单元的存贮器中去。第二条语句将装载数据到以单元地址是16的存贮器单元为起始存放单元的存贮器中去,一直到地址是256的单元为止。第三条语句将从地址是128的单元开始装载数据,一直到地址为1的单元。在第三种情况中,当装载完毕,系统要检查在数据文件里是否有128个数据,如果没有,系统将提示错误信息。

    "引用 参考"
    1.打开文件

    integer file_id;

    file_id = fopen("file_path/file_name");

    2.写入文件

    //$fmonitor只要有变化就一直记录

    $fmonitor(file_id, "%format_char", parameter);

    eg:$fmonitor(file_id, "%m: %t in1=%d o1=%h", $time, in1, o1);

    //$fwrite需要触发条件才记录

    $fwrite(file_id, "%format_char", parameter);

    //$fdisplay需要触发条件才记录

    $fdisplay(file_id, "%format_char", parameter);

    $fstrobe();

    3.读取文件

    integer file_id;

    file_id = $fread("file_path/file_name", "r");

    4.关闭文件

    $fclose(fjile_id);

    5.由文件设定存储器初值

    $readmemh("file_name", memory_name"); //初始化数据为十六进制

    $readmemb("file_name", memory_name"); //初始化数据为二进制

    转:

    http://zengbo.blogbus.com/logs/19569780.htmlVerilog

    提供了丰富的系统函数,这为Testbench的编写提供了方便。尤其是IEEE1364-2005,其系统级建模的能力更强。

        以前我一般常用到的系统函数只有几个:$readmemb,$readmemh,$display,$fmonitor,$fwrite,$fopen,$fclose等。通常需要对文件作预处理,才能用于Testbench读取。今天又尝试了几个其他的文件输入输出函数,不需要对文件进行预处理,直接使用需要的文件,只对需要的部分进行读取。

        $fseek,文件定位,可以从任意点对文件进行操作;

        $fscanf,对文件一行进行读写。

        下面是一些常见的应用:
        1、读写文件
    `timescale 1 ns/1 ns

    module FileIO_tb;

    integer fp_r, fp_w, cnt;
    reg [7:0] reg1, reg2, reg3;

    initial begin
      fp_r = $fopen("data_in.txt", "r");
      fp_w = $fopen("data_out.txt", "w");
     
      while(!$feof(fp_r)) begin
        cnt = $fscanf(fp_r, "%d %d %d", reg1, reg2, reg3);
        $display("%d %d %d", reg1, reg2, reg3);
        $fwrite(fp_w, "%d %d %dn", reg3, reg2, reg1);
      end
     
      $fclose(fp_r);
      $fclose(fp_w);
    end

    endmodule

        2、

    integer file, char;
    reg eof;

    initial begin
       file = $fopenr("myfile.txt");
       eof = 0;
       while (eof == 0) begin
           char = $fgetc(file);
           eof = $feof (file);
           $display ("%s", char); 
       end
    end

        3、文件处理定位
    `define SEEK_SET 0
    `define SEEK_CUR 1
    `define SEEK_END 2
    integer file, offset, position, r;
    r = $fseek(file, 0, `SEEK_SET);
    r = $fseek(file, 0, `SEEK_CUR);
    r = $fseek(file, 0, `SEEK_END);
    r = $fseek(file, position, `SEEK_SET);

        4、
    integer r, file, start, count;
    reg [15:0] mem[0:10], r16;
    r = $fread(file, mem[0], start, count);
    r = $fread(file, r16);

        5、
    integer file, position;
    position = $ftell(file);

       6、
    integer file, r, a, b;
    reg [80*8:1] string;
    file = $fopenw("output.log");
    r = $sformat(string, "Formatted %d %x", a, b);
    r = $sprintf(string, "Formatted %d %x", a, b);
    r = $fprintf(file, "Formatted %d %x", a, b);

       7、
    integer file, r;
    file = $fopenw("output.log");
    r = $fflush(file);

        8、
    // This is a pattern file - read_pattern.pat
    // time bin dec hex
    10: 001 1 1
    20.0: 010 20 020
    50.02: 111 5 FFF
    62.345: 100 4 DEADBEEF
    75.789: XXX 2 ZzZzZzZz

    `timescale 1ns / 10 ps
    `define EOF 32'hFFFF_FFFF
    `define NULL 0
    `define MAX_LINE_LENGTH 1000

    module read_pattern;
    integer file, c, r;
    reg [3:0] bin;
    reg [31:0] dec, hex;
    real real_time;
    reg [8*`MAX_LINE_LENGTH:0] line;

    initial
        begin : file_block
        $timeformat(-9, 3, "ns", 6);
        $display("time bin decimal hex");
        file = $fopenr("read_pattern.pat");
        if (file == `NULL) // If error opening file
            disable file_block; // Just quit

        c = $fgetc(file);
        while (c != `EOF)
            begin
           
            if (c == "/")
                r = $fgets(line, `MAX_LINE_LENGTH, file);
            else
                begin
                // Push the character back to the file then read the next time
                r = $ungetc(c, file);
                r = $fscanf(file," %f:n", real_time);

                // Wait until the absolute time in the file, then read stimulus
                if ($realtime > real_time)
                    $display("Error - absolute time in file is out of order - %t",
                            real_time);
                    else
                        #(real_time - $realtime)
                            r = $fscanf(file," %b %d %hn",bin,dec,hex);
                    end // if c else
                c = $fgetc(file);
            end // while not EOF

        r = $fcloser(file);
        end // initial

    // Display changes to the signals
    always @(bin or dec or hex)
        $display("%t %b %d %h", $realtime, bin, dec, hex);

    endmodule // read_pattern

        9、自动比较输出结果
    `define EOF 32'hFFFF_FFFF
    `define NULL 0
    `define MAX_LINE_LENGTH 1000
    module compare;
    integer file, r;
    reg a, b, expect, clock;
    wire out;
    reg [`MAX_LINE_LENGTH*8:1];
    parameter cycle = 20;

    initial
        begin : file_block
        $display("Time Stim Expect Output");
        clock = 0;

        file = $fopenr("compare.pat");
        if (file == `NULL)
            disable file_block;

        r = $fgets(line, MAX_LINE_LENGTH, file); // Skip comments
        r = $fgets(line, MAX_LINE_LENGTH, file);

        while (!$feof(file))
            begin
            // Wait until rising clock, read stimulus
            @(posedge clock)
            r = $fscanf(file, " %b %b %bn", a, b, expect);

            // Wait just before the end of cycle to do compare
            #(cycle - 1)
            $display("%d %b %b %b %b", $stime, a, b, expect, out);
            $strobe_compare(expect, out);
            end // while not EOF

        r = $fcloser(file);
        $stop;
        end // initial

    always #(cycle / 2) clock = !clock; // Clock generator

    and #4 (out, a, b); // Circuit under test
    endmodule // compare

        10、从文件中读数据到mem(这个好像一般人用的最多了)

    `define EOF 32'HFFFF_FFFF
    `define MEM_SIZE 200_000
    module load_mem;
    integer file, i;
    reg [7:0] mem[0:`MEM_SIZE];
    reg [80*8:1] file_name;
    initial    
    begin    
    file_name = "data.bin";    
    file = $fopenr(file_name);    
    i = $fread(file, mem[0]);    
    $display("Loaded entries n", i);    
    i = $fcloser(file);    
    $stop;    
    end endmodule // load_mem

  • 相关阅读:
    Django 信号
    Python标准库12 数学与随机数 (math包,random包)
    利用python进行数据分析之数据聚合和分组运算
    利用python进行数据分析之绘图和可视化
    Django Aggregation聚合
    配置使用TargetFrameworks输出多版本类库
    WebApi 异常处理解决方案
    WebApi(6) 后台C#调用WebApi
    Target frameworks
    C#中lock死锁实例教程
  • 原文地址:https://www.cnblogs.com/zcf287/p/2766791.html
Copyright © 2011-2022 走看看