zoukankan      html  css  js  c++  java
  • 【STM32H7教程】第74章 STM32H7的SPI总线应用之驱动DAC8563(双通道,16bit分辨率,正负10V)

    完整教程下载地址:http://www.armbbs.cn/forum.php?mod=viewthread&tid=86980

    第74章       STM32H7的SPI总线应用之驱动DAC8563(双通道,16bit分辨率,正负10V)

    本章节为大家讲解标准SPI接线方式驱动模数转换器DAC856X,制作了中断和DMA两种驱动方式。

    74.1 初学者重要提示

    74.2 DAC结构分类

    74.3 DAC技术术语

    74.4 DAC856X硬件设计

    74.5 DAC856X关键知识点整理(重要)

    74.6 DAC856X驱动设计(中断更新方式)

    74.7 DAC856X驱动设计(SPI DMA更新方式)

    74.8 SPI总线板级支持包(bsp_spi_bus.c)

    74.9 DAC856X支持包中断方式(bsp_spi_dac8562.c)

    74.10 DAC856X支持包DMA方式(bsp_spidma_dac8562.c)

    74.11 DAC856X驱动移植和使用(中断更新方式)

    74.12 DAC856X驱动移植和使用(SPI DMA更新方式)

    74.13 实验例程设计框架

    74.14 实验例程说明(MDK)

    74.15 实验例程说明(IAR)

    74.16 总结

    74.1 初学者重要提示

    1、  学习本章节前,务必优先学习第72章。

    2、  对于DAC8562和DAC8563,教程中不做区分,因为DAC8562和DAC8563完全兼容,区别仅仅在于CLR引脚有效时,DAC8562数据设置为0,  DAC8563数据设置为32767。

    3、 本章涉及到的知识点比较多,需要大家掌握STM32H7的SPI , DMA,TIM,DMAMUX和DAC8563的一些细节用法。

    4、  H7的SPI + DMA驱动这类外设的灵活度,绝对可以媲美FPGA去控制:

    •  H7的SPI外设比F4系列的灵活性强太多了,主要表现在两个方面:数据的传输支持了4-32bit,特别是那个NSS片选引脚,超强劲,可以做各种时间插入,灵活应对了市场上这类芯片的需求。
    •  DMA这块相比F4系列,有了质的飞跃,支持了DMAMUX,这个DMAMUX除了带来灵活的触发源选择,还支持了各种触发事件和同步触发功能。本章配套例子的触发周期控制就是利用了DMAMUX的同步触发功能。

    5、  本章配套了中断和DMA两种更新方式的案例,DMA实现方式与中断更新方式完全不同,因为DMA方式要使用硬件SPI1 NSS片选引脚驱动DAC856X。而中断更新方式使用公共的总线驱动文件bsp_spi_bus.c,片选是通过通用IO方式控制,支持串行FLASH、TSC2046、VS1053、AD7705、ADS1256等SPI设备。大家在看例子的时候要注意。

    6、  对于本章教程配套例子的SPI DMA方式,这里特别注意一点,定时器触发一次,就会让SPI以DMA方式传输24bit数据。

    7、  DAC856X数据手册,模块原理图和接线图都已经放到本章教程配置例子的Doc文件里。

    74.2 DAC结构分类

    这里将三种DAC结构为大家做个普及:R2R型MDAC,R2R型backDAC和Srting型DAC。

    注,这些知识翻译自TI的英文技术手册。

    74.2.1 R2R型MDAC

    自动测试设备或仪器通常使用R2R MDAC。MDAC型制造商能够设计具有±1 LSB的高分辨率积分非线性(INL)和差分非线性(DNL)DAC。通过使用合适的外部放大器,MDAC能够实现较短的建立时间(<0.3 ms)和大于10 MHz的带宽。并且通过为MDAC的外部运算放大器提供不同电源电压和高输出电流可以增强DAC功能。

    MDAC产生的电流与用户设置的数字编码,外部放大器以及RFB(在MDAC内部)将DAC的电流输出信号转换为可用的电压。

    这类DAC的缺点是会有稳定性问题。

     

    74.2.2 R2R型backDAC

    通常在工业应用中使用R2R backDAC。其它一些应用还包括仪器和数字控制校准。使用这类DAC,每次新更新会将2R支路切换到参考电压高(VREF-H)或参考电压低(VREF-L)。注意R-2R梯子的布置与MDAC相比是倒置的。这就是名字backDAC的由来,这种架构很容易制造。

     

    这类DAC的缺点是毛刺脉冲问题(注,此贴有详细解释:链接):

    74.2.3 String型DAC

    String型DAC最适合便携式仪器,闭环伺服控制和过程控制。下图显示了一个3bit String DAC的模型,数字输入代码101b被解码为5/8 VREF。String DAC的输出级放大器隔离了来自输出负载的内部电阻元件。

    String DAC是一种低功耗解决方案,可确保单调性在整个输入代码中具有良好的DNL(差分非线性)性能范围。毛刺能量通常低于其它DAC类型。

    但是,INL(积分非线性)通常较大,具体取决于电阻式片上匹配,另一方面,控制回路中的DAC可减轻线性度影响。String DAC的噪声也相对较大,因为电阻串的阻抗很高,所以该值很高。但String DAC功耗低且非常小的故障能量。

     

    74.3 DAC技术术语

    一些常见的DAC技术术语需要大家见到了,大概了解是什么意思。

    74.3.1 单位ppm℃(ppm/℃)

    这个参数是专门用来定义温飘的,ppm全称是parts per million,即百万分之一。比如2ppm℃就是2 x 10^-6 ,反映到DAC8563上,定义如下:

    Input or 2.5-V Output
    4-ppm°C Temperature Drift (Typ)

    也就是说,当输出2.5V时,每变化一度,输出电压的变化是2.5V x (4 x 10^-6) = 10uV

    类似的定义还有很多:

     

    ppb,ppt,ppq所代表的含义:

     

    74.3.2 毛刺脉冲(Glitch impulse)

    使用DAC进行设计时,您期望输出从一个值单调移至下一个值,但实际电路并非总是如此。在某些代码范围内,出现过冲或下冲(量化为毛刺脉冲)并不少见。主要以下面两种形式呈现:

     

     

    具体原因分析在这个帖子里面进行了讲解(内容较多,就不整理到教程里面了):链接

    74.3.3 偏移误差(Offset Error)

    偏移误差为标称偏移点与实际偏移点之间的差。此错误以相同的数量影响所有代码,通常可以通过修正来补偿处理。如果无法修正,则该误差称为零刻度误差。

     

    74.3.4 增益误差(Gain Error)

    增益误差定义为传输时标称增益点与实际增益点之差。

     

    74.3.5 差分非线性误差(DNL)

    DNL全称Differential Nonlinearity。

    差分非线性误差为实际步长宽度(对于ADC)或步长高度(对于DAC)与1 LSB的理想值之间的差值。 因此,如果阶跃宽度或高度恰好为1 LSB,则差分非线性误差为零。 如果DNL超过1 LSB,转换器可能变得非单调。这意味着增加了输入的幅度但输出的大小可能变小。

     

    74.3.6 积分非线性误差(INL)

    INL全称Integral Nonlinearity

    积分非线性误差是从一个传输点到相对应的理想传输曲线的最大偏差距离,不考虑偏置误差和增益误差。 这个参数对最佳传输函数或端点传输函数有一定参考意义。

     

    74.3.7 绝对精度误差(Absolute Accuracy Error)

    绝对精度误差是包括偏移,增益,积分线性等误差的总体误差。

     

    74.4 DAC856X硬件设计

    DAC的输出量可以为0到2.5V或者0到5V,通过外置运放,实现了±10V输出。原理图下载:

    http://www.armbbs.cn/forum.php?mod=viewthread&tid=97082

    74.4.1 DAC856X模块规格

    产品规格:

    1、供电电压 : 2.7 - 5.5V  【3.3V供电时,输出电压也可以到正负10V】

    2、通道数: 2路  (通过1片DAC8563实现)

    3、输出电压范围 : -10V ~ +10V 【客户可以自己更改为 0-10V输出范围。使用烙铁切换2个焊点即可,无需更换元器件】

    4、输出驱动能力:带运放驱动,最大输出电流10mA,负载电阻>1K欧姆

    5、分辨率: 16位

    6、功耗 : 小于20mA

    7、MCU接口 :高速 SPI (50M) 支持 3.3V和5V单片机

    8、DAC输出模拟带宽:350KHz

    9、DAC输出响应: 10uS 到 0.003% FSR

    产品特点:

    1、输出和供电电压无关;模块内带正负12V升压电路

    2、自适应单片机的电平(2.7 - 5V 均可以)

    3、输出电压可抵达正负10V

    4、上电时缺省输出0V (在软件未启动时)

    5、引出正负12V电源排针,方便客户使用

    重要提示:

    1、DAC8562和DAC8563完全兼容,区别仅仅在于CLR引脚有效时,DAC8562数据设置为0, DAC8563数据设置为32767。注意这是DAC的内部数据,不表示输出电压。 对于-10 ~ +10V输出的模块,DAC8562输出-10V, DAC8563输出0V。

    2、无论是用DAC8562还是DAC8563芯片,只要软件不启动,本模块输出电压缺省状态都是0V。

    3、CLR脚悬浮时,电压在1.9V左右,容易受到干扰导致输出被清零。因此即使不用CLR控制功能,这个CLR脚也需要接固定电平(推荐接GND)。CLR是边沿触发,仅在下降沿信号出现执行清零。

    产品效果:

     

    74.4.2 DAC856X硬件接口

    V7板子上DAC856X模块的插座的原理图如下:

     

    实际对应开发的位置如下:

     

    74.5 DAC856X关键知识点整理(重要)

    驱动DAC856X需要对下面这些知识点有个认识。

    74.5.1 DAC856X基础信息

    •   双通道DAC,轨到轨输出,16bit分辨率,支持50MHz的SPI时钟速度。
    •   自带2.5V的内部参考基准,典型的温飘是4ppm/℃。使用内部2.5V参考基准的情况下,根据增益设置不同,DAC的输出量可以为0到2.5V或者0到5V。
    •   用户可以根据需要外接运放实现常用的±5V,±10V或者±15V输出。
    •   相对精度误差4LSB INL。
    •   毛刺脉冲 0.1nV-s
    •   上电复位数值0V或者中间值。

     

    74.5.2 DAC856X每个引脚的作用

    DAC856X主要有下面两种封装形式:

     

    •  Avdd

    供电范围2.7-5.5V

    •   CLR

    异步清除输入,下降沿有效,触发后,DAC8562输出最低电压值,DAC8563输出中间值。用户写入操作的的第24个时钟下降沿将退出清除模式,激活清除模式将终止写操作。

    •   Din

    串行时钟输入,每个时钟下降沿将数据写到的24bit的输入移位寄存器。

    •   GND

    接地端。

    •   LDAC

    同步模式下,数据更新发生在第24个SCLK周期的下降沿,之后伴随着SYNC的下降沿。 这种同步更新不需要LDAC,而LDAC必须永久接地,或者将命令发送到设备时保持低电平。异步模式下,LDAC是低电平触发,用于同步DAC更新,可以编写多个单通道命令进行设置,然后在LDAC引脚上产生一个下降沿将同步更新DAC输出寄存器。

    •   SCLK

    时钟输入端,支持50MHz。

    •   SYNC (片选)

    低电平有效,当SYNC变为低电平时,它使能输入移位寄存器,并且数据采样在随后的时钟下降沿。 DAC输出在第24个时钟下降沿之后更新。 如果SYNC在第23个时钟沿之前变高,SYNC的上升沿将充当中断,并且DAC756x,DAC816x和DAC856x器件将忽略写序列。

    •   VoutA

    模拟电压输出A。

    •   VoutB

    模拟电压输出B。

    •   Vrefin/Vrefout

    双向电压参考引脚,如果使用内部电压基准,此引脚是输出2.5V。

    74.5.3 DAC856X输出电压计算公式

    DAC856X的计算公式如下:

     

    •   DIN

    配置DAC856X数据输出寄存器的数值,范围0 到2^16 – 1,即0到65535。

    •   2n

    对于DAC856X来说,n是16。

    •   VREF

    如果使用内部参考电压,那么此数值是2.5V,如果使用外部参考电压,由VREFIN引脚的输入决定。

    •   Gain

    增益设置。禁止内部电压基准后,默认增益是1。如果使能内部电压基准后,默认增益是2。具体增益是1还是2,可以通过DAC856X的寄存器设置。

    74.5.4 DAC856X时序图

    DAC856X的时序图如下:

     

    这个时序里面有三个参数尤其重要,后面时序配置要用到。

    •   f(SCLK)

    支持最高的串行时钟是50MHz。

    •   t(4)

    每传输24bit数据后,SYNC要保持一段时间的高电平,DAC856X要求至少要80ns。

    •   t(5)

    SYNC低电平有效到SCLK第1个下降沿信号的时间,最小值13ns。

    74.5.5 DAC856X寄存器配置

    DAC856X的寄存器配置看下面的图表即可,一目了然(X表示为0或者为1均可):

     

    控制DAC856X每次要传输24bit数据,高8bit控制位 + 16bit数据位。

    比如Power up DAC-A and DAC-B:

    DAC8562_WriteCmd((4 << 19) | (0 << 16) | (3 << 0))

    74.6 DAC856X驱动设计(中断更新方式)

    DAC856X的程序驱动框架设计如下:

     

    有了这个框图,程序设计就比较好理解了。

    74.6.1 第1步:SPI总线配置

    spi总线配置通过如下两个函数实现:

    /*
    *********************************************************************************************************
    *    函 数 名: bsp_InitSPIBus
    *    功能说明: 配置SPI总线。
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void bsp_InitSPIBus(void)
    {    
        g_spi_busy = 0;
        
        bsp_InitSPIParam(SPI_BAUDRATEPRESCALER_8, SPI_PHASE_1EDGE, SPI_POLARITY_LOW);
    }
    
    /*
    *********************************************************************************************************
    *    函 数 名: bsp_InitSPIParam
    *    功能说明: 配置SPI总线参数,时钟分频,时钟相位和时钟极性。
    *    形    参: _BaudRatePrescaler  SPI总线时钟分频设置,支持的参数如下:
    *                                 SPI_BAUDRATEPRESCALER_2    2分频
    *                                 SPI_BAUDRATEPRESCALER_4    4分频
    *                                 SPI_BAUDRATEPRESCALER_8    8分频
    *                                 SPI_BAUDRATEPRESCALER_16   16分频
    *                                 SPI_BAUDRATEPRESCALER_32   32分频
    *                                 SPI_BAUDRATEPRESCALER_64   64分频
    *                                 SPI_BAUDRATEPRESCALER_128  128分频
    *                                 SPI_BAUDRATEPRESCALER_256  256分频
    *                                                        
    *             _CLKPhase           时钟相位,支持的参数如下:
    *                                 SPI_PHASE_1EDGE     SCK引脚的第1个边沿捕获传输的第1个数据
    *                                 SPI_PHASE_2EDGE     SCK引脚的第2个边沿捕获传输的第1个数据
    *                                 
    *             _CLKPolarity        时钟极性,支持的参数如下:
    *                                 SPI_POLARITY_LOW    SCK引脚在空闲状态处于低电平
    *                                 SPI_POLARITY_HIGH   SCK引脚在空闲状态处于高电平
    *
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void bsp_InitSPIParam(uint32_t _BaudRatePrescaler, uint32_t _CLKPhase, uint32_t _CLKPolarity)
    {
        /* 提高执行效率,只有在SPI硬件参数发生变化时,才执行HAL_Init */
        if (s_BaudRatePrescaler == _BaudRatePrescaler && s_CLKPhase == _CLKPhase && s_CLKPolarity == _CLKPolarity)
        {        
            return;
        }
    
        s_BaudRatePrescaler = _BaudRatePrescaler;    
        s_CLKPhase = _CLKPhase;
        s_CLKPolarity = _CLKPolarity;
        
        
        /* 设置SPI参数 */
        hspi.Instance               = SPIx;                   /* 例化SPI */
        hspi.Init.BaudRatePrescaler = _BaudRatePrescaler;     /* 设置波特率 */
        hspi.Init.Direction         = SPI_DIRECTION_2LINES;   /* 全双工 */
        hspi.Init.CLKPhase          = _CLKPhase;              /* 配置时钟相位 */
        hspi.Init.CLKPolarity       = _CLKPolarity;           /* 配置时钟极性 */
        hspi.Init.DataSize          = SPI_DATASIZE_8BIT;      /* 设置数据宽度 */
        hspi.Init.FirstBit          = SPI_FIRSTBIT_MSB;       /* 数据传输先传高位 */
        hspi.Init.TIMode            = SPI_TIMODE_DISABLE;     /* 禁止TI模式  */
        hspi.Init.CRCCalculation    = SPI_CRCCALCULATION_DISABLE; /* 禁止CRC */
        hspi.Init.CRCPolynomial     = 7;                       /* 禁止CRC后,此位无效 */
        hspi.Init.CRCLength         = SPI_CRC_LENGTH_8BIT;     /* 禁止CRC后,此位无效 */
        hspi.Init.NSS               = SPI_NSS_SOFT;               /* 使用软件方式管理片选引脚 */
        hspi.Init.FifoThreshold     = SPI_FIFO_THRESHOLD_01DATA;  /* 设置FIFO大小是一个数据项 */
        hspi.Init.NSSPMode          = SPI_NSS_PULSE_DISABLE;      /* 禁止脉冲输出 */
        hspi.Init.MasterKeepIOState = SPI_MASTER_KEEP_IO_STATE_ENABLE; /* 禁止SPI后,SPI相关引脚保持当前状态 */  
        hspi.Init.Mode             = SPI_MODE_MASTER;            /* SPI工作在主控模式 */
    
        /* 复位配置 */
        if (HAL_SPI_DeInit(&hspi) != HAL_OK)
        {
            Error_Handler(__FILE__, __LINE__);
        }    
    
        /* 初始化配置 */
        if (HAL_SPI_Init(&hspi) != HAL_OK)
        {
            Error_Handler(__FILE__, __LINE__);
        }    
    }

    关于这两个函数有以下两点要做个说明:

    •   函数bsp_InitSPIBus里面的配置是个初始设置。实际驱动芯片时,会通过函数bsp_InitSPIParam做再配置。
    •   函数bsp_InitSPIParam提供了时钟分频,时钟相位和时钟极性配置。驱动不同外设芯片时,基本上调整这三个参数就够。当SPI接口上接了多个不同类型的芯片时,通过此函数可以方便的切换配置。

    74.6.2 第2步:SPI总线的查询,中断和DMA方式设置

    注:对于DAC8563,请使用查询方式。

    SPI驱动的查询,中断和DMA方式主要通过函数bsp_spiTransfer实现数据传输:

    /*
    *********************************************************************************************************
    *                                 选择DMA,中断或者查询方式
    *********************************************************************************************************
    */
    //#define USE_SPI_DMA    /* DMA方式  */
    //#define USE_SPI_INT    /* 中断方式 */
    #define USE_SPI_POLL   /* 查询方式 */
    
    /* 查询模式 */
    #if defined (USE_SPI_POLL)
    
    uint8_t g_spiTxBuf[SPI_BUFFER_SIZE];  
    uint8_t g_spiRxBuf[SPI_BUFFER_SIZE];
    
    /* 中断模式 */
    #elif defined (USE_SPI_INT)
    
    uint8_t g_spiTxBuf[SPI_BUFFER_SIZE];   
    uint8_t g_spiRxBuf[SPI_BUFFER_SIZE];
    
    /* DMA模式使用的SRAM4 */
    #elif defined (USE_SPI_DMA)
        #if defined ( __CC_ARM )    /* IAR *******/
            __attribute__((section (".RAM_D3"))) uint8_t g_spiTxBuf[SPI_BUFFER_SIZE];   
            __attribute__((section (".RAM_D3"))) uint8_t g_spiRxBuf[SPI_BUFFER_SIZE];
        #elif defined (__ICCARM__)   /* MDK ********/
            #pragma location = ".RAM_D3"
            uint8_t g_spiTxBuf[SPI_BUFFER_SIZE];   
            #pragma location = ".RAM_D3"
            uint8_t g_spiRxBuf[SPI_BUFFER_SIZE];
        #endif
    #endif
    
    /*
    *********************************************************************************************************
    *    函 数 名: bsp_spiTransfer
    *    功能说明: 启动数据传输
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void bsp_spiTransfer(void)
    {
        if (g_spiLen > SPI_BUFFER_SIZE)
        {
            return;
        }
        
        /* DMA方式传输 */
    #ifdef USE_SPI_DMA
        wTransferState = TRANSFER_WAIT;
        
        if(HAL_SPI_TransmitReceive_DMA(&hspi, (uint8_t*)g_spiTxBuf, (uint8_t *)g_spiRxBuf, g_spiLen) != HAL_OK)    
        {
            Error_Handler(__FILE__, __LINE__);
        }
        
        while (wTransferState == TRANSFER_WAIT)
        {
            ;
        }
    #endif
    
        /* 中断方式传输 */    
    #ifdef USE_SPI_INT
        wTransferState = TRANSFER_WAIT;
    
        if(HAL_SPI_TransmitReceive_IT(&hspi, (uint8_t*)g_spiTxBuf, (uint8_t *)g_spiRxBuf, g_spiLen) != HAL_OK)    
        {
            Error_Handler(__FILE__, __LINE__);
        }
        
        while (wTransferState == TRANSFER_WAIT)
        {
            ;
        }
    #endif
    
        /* 查询方式传输 */    
    #ifdef USE_SPI_POLL
        if(HAL_SPI_TransmitReceive(&hspi, (uint8_t*)g_spiTxBuf, (uint8_t *)g_spiRxBuf, g_spiLen, 1000000) != HAL_OK)    
        {
            Error_Handler(__FILE__, __LINE__);
        }    
    #endif
    }

    通过开头宏定义可以方便的切换中断,查询和DMA方式。其中查询和中断方式比较好理解,而DMA方式要特别注意两点:

    •   通过本手册第26章的内存块超方便使用方式,将DMA缓冲定义到SRAM4上。因为本工程是用的DTCM做的主RAM空间,这个空间无法使用通用DMA1和DMA2。
    •   由于程序里面开启了数据Cache,会造成DMA和CPU访问SRAM4数据不一致的问题,特此将SRAM4空间关闭Cache。
        /* 配置SRAM4的MPU属性为Non-cacheable */
        MPU_InitStruct.Enable           = MPU_REGION_ENABLE;
        MPU_InitStruct.BaseAddress      = 0x38000000;
        MPU_InitStruct.Size             = MPU_REGION_SIZE_64KB;
        MPU_InitStruct.AccessPermission = MPU_REGION_FULL_ACCESS;
        MPU_InitStruct.IsBufferable     = MPU_ACCESS_NOT_BUFFERABLE;
        MPU_InitStruct.IsCacheable      = MPU_ACCESS_NOT_CACHEABLE;
        MPU_InitStruct.IsShareable      = MPU_ACCESS_NOT_SHAREABLE;
        MPU_InitStruct.Number           = MPU_REGION_NUMBER2;
        MPU_InitStruct.TypeExtField     = MPU_TEX_LEVEL1;
        MPU_InitStruct.SubRegionDisable = 0x00;
        MPU_InitStruct.DisableExec      = MPU_INSTRUCTION_ACCESS_ENABLE;
    
        HAL_MPU_ConfigRegion(&MPU_InitStruct);

    74.6.3 第3步:DAC856X的时钟极性和时钟相位配置

    首先回忆下STM32H7支持的4种时序配置。

    •   当CPOL = 1, CPHA = 1时

    SCK引脚在空闲状态处于高电平,SCK引脚的第2个边沿捕获传输的第1个数据。

    •   当CPOL = 0, CPHA = 1时

    SCK引脚在空闲状态处于低电平,SCK引脚的第2个边沿捕获传输的第1个数据。

    •   当CPOL = 1, CPHA = 0时

    SCK引脚在空闲状态处于高电平,SCK引脚的第1个边沿捕获传输的第1个数据。

    •   当CPOL = 0 ,CPHA= 0时

    SCK引脚在空闲状态处于低电平,SCK引脚的第1个边沿捕获传输的第1个数据。

     

    有了H7支持的时序配置,再来看下DAC856X的时序图:

     

    首先DAC856X是下降升沿做数据采集,所以STM32H7的可选的配置就是:

    CHOL = 0,  CPHA = 1

    CHOL = 1,  CPHA = 0

    对于这两种情况的主要区别是空闲状态下SCLK时钟选择高电平还是低电平,根据上面的时序图和DAC856X的数据手册,两种情况下都可以正常运行。经过实际测试,STM32H7使用这两个配置确实都可以正常运行。程序里面默认是选择CHOL = 0,  CPHA = 1。

    74.6.4 第4步:单SPI接口管理多个SPI设备的切换机制

    单SPI接口管理多个SPI设备最麻烦的地方是不同设备的时钟分配,时钟极性和时钟相位并不相同。对此的解决解决办法是在片选阶段配置切换,比如DAC856X的片选:

    /*
    *********************************************************************************************************
    *    函 数 名: DAC8562_SetCS
    *    功能说明: DAC8562 片选控制函数
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void DAC8562_SetCS(uint8_t _Level)
    {
        if (_Level == 0)
        {
            bsp_SpiBusEnter();    /* 占用SPI总线  */    
            bsp_InitSPIParam(SPI_BAUDRATEPRESCALER_4, SPI_PHASE_2EDGE, SPI_POLARITY_LOW);        
            CS_0();
        }
        else
        {        
            CS_1();    
            bsp_SpiBusExit();    /* 释放SPI总线 */
        }    
    }

    通过这种方式就有效的解决了单SPI接口管理多设备的问题。因为给每个设备都配了一个独立的片选引脚,这样就可以为每个设备都配置这么一个片选配置。

    但是频繁配置也比较繁琐,所以函数bsp_InitSPIParam里面做了特别处理。当前配置与之前配置相同的情况下无需重复配置。

    74.6.5 第5步:DAC856X的数据更新

    DAC856X的双通道数据更新通过下面的函数实现:

    /*
    *********************************************************************************************************
    *    函 数 名: DAC8562_SetDacData
    *    功能说明: 设置DAC输出,并立即更新。
    *    形    参: _ch, 通道, 0 , 1
    *             _data : 数据
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void DAC8562_SetDacData(uint8_t _ch, uint16_t _dac)
    {
        if (_ch == 0)
        {
            /* Write to DAC-A input register and update DAC-A; */
            DAC8562_WriteCmd((3 << 19) | (0 << 16) | (_dac << 0));
        }
        else if (_ch == 1)
        {
            /* Write to DAC-B input register and update DAC-A; */
            DAC8562_WriteCmd((3 << 19) | (1 << 16) | (_dac << 0));
        }
    }

    函数实现比较简单,每次更新发送24bit数据即可。

    74.7 DAC856X驱动设计(SPI DMA更新方式)

    DAC856X的DMA驱动方式略复杂,跟中断更新方式完全不同,要使用硬件SPI1 NSS引脚驱动DAC8562的片选,所有专门做了一个驱动文件来实现,程序驱动框架设计如下:

     

    有了这个框图,程序设计就比较好理解了。

    74.7.1 第1步:SPI总线配置

    spi总线配置通过如下两个函数实现:

    /*
    *********************************************************************************************************
    *    函 数 名: bsp_InitDAC8562
    *    功能说明: 配置GPIO并初始化DAC8562寄存器
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void bsp_InitDAC8562(void)
    {
        /* 配置GPIO */
        GPIO_InitTypeDef GPIO_InitStruct;
    
        s_SpiDmaMode = 0;  
        
        /*##-1- 配置SPI DMA ############################################################*/
        bsp_InitSPIParam(SPI_BAUDRATEPRESCALER_4, SPI_PHASE_2EDGE, SPI_POLARITY_LOW);
        
        /*##-2- 配置CLR引脚 ############################################################*/
        CLR_CLK_ENABLE();
        
        GPIO_InitStruct.Mode = GPIO_MODE_OUTPUT_PP;        /* 设置推挽输出 */
        GPIO_InitStruct.Pull = GPIO_NOPULL;                /* 上下拉电阻不使能 */
        GPIO_InitStruct.Speed = GPIO_SPEED_FREQ_MEDIUM; /* GPIO速度等级 */    
    
        GPIO_InitStruct.Pin = CLR_PIN;    
        HAL_GPIO_Init(CLR_GPIO, &GPIO_InitStruct);            
    
        CLR_0();        /* CLR接GND可靠一些,CLR是下降沿触发 */
        LDAC_0();        /* 不用异步更新模式,此引脚接GND */
        
        /*##-3- 配置DAC8562 ############################################################*/
        /* Power up DAC-A and DAC-B */
        DAC8562_WriteCmd((4 << 19) | (0 << 16) | (3 << 0));
    
        /* LDAC pin inactive for DAC-B and DAC-A  不使用LDAC引脚更新数据 */
        DAC8562_WriteCmd((6 << 19) | (0 << 16) | (3 << 0));
    
        /* 复位2个DAC到中间值, 输出0V */
        DAC8562_SetDacData(0, 32767);
        DAC8562_SetDacData(1, 32767);
    
        /* 选择内部参考并复位2个DAC的增益=2 (复位时,内部参考是禁止的) */
        DAC8562_WriteCmd((7 << 19) | (0 << 16) | (1 << 0));
    }
    
    /*
    *********************************************************************************************************
    *    函 数 名: bsp_InitSPIParam
    *    功能说明: 配置SPI总线参数,时钟分频,时钟相位和时钟极性。
    *    形    参: _BaudRatePrescaler  SPI总线时钟分频设置,支持的参数如下:
    *                                 SPI_BAUDRATEPRESCALER_2    2分频
    *                                 SPI_BAUDRATEPRESCALER_4    4分频
    *                                 SPI_BAUDRATEPRESCALER_8    8分频
    *                                 SPI_BAUDRATEPRESCALER_16   16分频
    *                                 SPI_BAUDRATEPRESCALER_32   32分频
    *                                 SPI_BAUDRATEPRESCALER_64   64分频
    *                                 SPI_BAUDRATEPRESCALER_128  128分频
    *                                 SPI_BAUDRATEPRESCALER_256  256分频
    *                                                        
    *             _CLKPhase           时钟相位,支持的参数如下:
    *                                 SPI_PHASE_1EDGE     SCK引脚的第1个边沿捕获传输的第1个数据
    *                                 SPI_PHASE_2EDGE     SCK引脚的第2个边沿捕获传输的第1个数据
    *                                 
    *             _CLKPolarity        时钟极性,支持的参数如下:
    *                                 SPI_POLARITY_LOW    SCK引脚在空闲状态处于低电平
    *                                 SPI_POLARITY_HIGH   SCK引脚在空闲状态处于高电平
    *
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void bsp_InitSPIParam(uint32_t _BaudRatePrescaler, uint32_t _CLKPhase, uint32_t _CLKPolarity)
    {
        
        /* 设置SPI参数 */
        hspi.Instance               = SPIx;                           /* 例化SPI */
        hspi.Init.BaudRatePrescaler = _BaudRatePrescaler;             /* 设置波特率 */
        hspi.Init.Direction         = SPI_DIRECTION_2LINES_TXONLY;  /* 全双工 */
        hspi.Init.CLKPhase          = _CLKPhase;                     /* 配置时钟相位 */
        hspi.Init.CLKPolarity       = _CLKPolarity;                   /* 配置时钟极性 */
        hspi.Init.DataSize          = SPI_DATASIZE_24BIT;               /* 设置数据宽度 */
        hspi.Init.FirstBit          = SPI_FIRSTBIT_MSB;             /* 数据传输先传高位 */
        hspi.Init.TIMode            = SPI_TIMODE_DISABLE;             /* 禁止TI模式  */
        hspi.Init.CRCCalculation    = SPI_CRCCALCULATION_DISABLE;     /* 禁止CRC */
        hspi.Init.CRCPolynomial     = 7;                               /* 禁止CRC后,此位无效 */
        hspi.Init.CRCLength         = SPI_CRC_LENGTH_8BIT;             /* 禁止CRC后,此位无效 */
        hspi.Init.FifoThreshold     = SPI_FIFO_THRESHOLD_05DATA;    /* 设置FIFO大小是一个数据项 */
        
        hspi.Init.NSS         = SPI_NSS_HARD_OUTPUT;                 /* 使用软件方式管理片选引脚 */
        hspi.Init.NSSPMode    = SPI_NSS_PULSE_ENABLE;                /* 使能脉冲输出 */
        hspi.Init.NSSPolarity = SPI_NSS_POLARITY_LOW;               /* 低电平有效 */
    
    /* MSS, 插入到NSS有效边沿和第一个数据开始之间的额外延迟,单位SPI时钟周期个数 */
        hspi.Init.MasterSSIdleness        = SPI_MASTER_SS_IDLENESS_00CYCLE;   
    
    /* MIDI, 两个连续数据帧之间插入的最小时间延迟,单位SPI时钟周期个数 */
        hspi.Init.MasterInterDataIdleness = SPI_MASTER_INTERDATA_IDLENESS_10CYCLE; 
        
        hspi.Init.MasterKeepIOState = SPI_MASTER_KEEP_IO_STATE_ENABLE; /* 禁止SPI后,SPI相关引脚保持当前状态 */  
        hspi.Init.Mode            = SPI_MODE_MASTER;                    /* SPI工作在主控模式 */
    
        /* 复位配置 */
        if (HAL_SPI_DeInit(&hspi) != HAL_OK)
        {
            Error_Handler(__FILE__, __LINE__);
        }    
    
        /* 初始化配置 */
        if (HAL_SPI_Init(&hspi) != HAL_OK)
        {
            Error_Handler(__FILE__, __LINE__);
        }    
    }

    这两个配置函数里面最重要的是置红的几个配置选项,这里依次为大家做个说明:

    •   SPI_DIRECTION_2LINES_TXONLY

    驱动DAC856X仅需要SPI写操作。

    •   SPI_DATASIZE_24BIT

    STM32H7的SPI支持4-32bit数据传输,由于DAC856X需要24bit数据,所以这里配置为24即可。

    •   SPI_FIFO_THRESHOLD_05DATA

    对于SPI1来说,里面的FIFO大小是16字节,那么SPI数据传输配置为24bit的话,FIFO最多可以存储5个24bit,因此这个fifo阀值要设置为5。

    •   SPI_NSS_HARD_OUTPUT

    我们这里要使用SPI的硬件片选引脚SPI_NSS。

    •   SPI_MASTER_SS_IDLENESS_00CYCLE

    插入到NSS有效边沿和第一个数据开始之间的额外延迟,单位SPI时钟周期个数。

    根据本章4.5.4小节里面的t(5)要求,片选有效到SCLK第1个下降沿信号的时间,最小值13ns。由于DAC856X的最高时钟是50MHz,即20ns的分辨率,并且实际程序中,我们选择的是第2个边沿做数据采集,所以这里配置为0即可,也就是无需插入时间。

    •   SPI_MASTER_INTERDATA_IDLENESS_10CYCLE

    两个连续数据帧之间插入的最小时间延迟,单位SPI时钟周期个数。

    根据本章4.5.4小节里面的t(4)要求,每传输24bit数据后,片选要保持一段时间的高电平,DAC856X要求至少要80ns,也是说,如果我们以50MHz驱动DAC856X,这里至少要配置为4个时钟周期,推荐值为5及其以上即可,我们这里直接配置为10个时钟周期(配置为5也没问题的)。

    74.7.2 第2步:TIM12周期性触发配置

    这里特别注意一点,定时器触发一次,就会让SPI以DMA方式传输24bit输出。

    TIM12的触发配置如下:

    /*
    *********************************************************************************************************
    *    函 数 名: TIM12_Config
    *    功能说明: 配置TIM12,用于触发DMAMUX的请求发生器
    *    形    参: _ulFreq  触发频率,推荐范围100Hz - 1MHz                              
    *    返 回 值: 无
    *********************************************************************************************************
    */   
    TIM_HandleTypeDef  htim ={0};
    TIM_MasterConfigTypeDef sMasterConfig = {0};
    TIM_OC_InitTypeDef sConfig = {0};
    void TIM12_Config(uint32_t _ulFreq)
    {
        uint16_t usPeriod;
        uint16_t usPrescaler;
        uint32_t uiTIMxCLK;
        
        
          /* 使能时钟 */  
          __HAL_RCC_TIM12_CLK_ENABLE();
          
        /*-----------------------------------------------------------------------
            bsp.c 文件中 void SystemClock_Config(void) 函数对时钟的配置如下: 
    
            System Clock source       = PLL (HSE)
            SYSCLK(Hz)                = 400000000 (CPU Clock)
            HCLK(Hz)                  = 200000000 (AXI and AHBs Clock)
            AHB Prescaler             = 2
            D1 APB3 Prescaler         = 2 (APB3 Clock  100MHz)
            D2 APB1 Prescaler         = 2 (APB1 Clock  100MHz)
            D2 APB2 Prescaler         = 2 (APB2 Clock  100MHz)
            D3 APB4 Prescaler         = 2 (APB4 Clock  100MHz)
    
            因为APB1 prescaler != 1, 所以 APB1上的TIMxCLK = APB1 x 2 = 200MHz; 不含这个总线下的LPTIM1
            因为APB2 prescaler != 1, 所以 APB2上的TIMxCLK = APB2 x 2 = 200MHz;
            APB4上面的TIMxCLK没有分频,所以就是100MHz;
    
            APB1 定时器有 TIM2, TIM3 ,TIM4, TIM5, TIM6, TIM7, TIM12, TIM13, TIM14,LPTIM1
            APB2 定时器有 TIM1, TIM8 , TIM15, TIM16,TIM17
    
            APB4 定时器有 LPTIM2,LPTIM3,LPTIM4,LPTIM5
        ----------------------------------------------------------------------- */
        uiTIMxCLK = SystemCoreClock / 2;
        
        if (_ulFreq < 100)
        {
            usPrescaler = 10000 - 1;                    /* 分频比 = 10000 */
            usPeriod =  (uiTIMxCLK / 10000) / _ulFreq  - 1; /* 自动重装的值 */
        }
        else if (_ulFreq < 3000)
        {
            usPrescaler = 100 - 1;                    /* 分频比 = 100 */
            usPeriod =  (uiTIMxCLK / 100) / _ulFreq  - 1;/* 自动重装的值 */
        }
        else    /* 大于4K的频率,无需分频 */
        {
            usPrescaler = 0;                    /* 分频比 = 1 */
            usPeriod = uiTIMxCLK / _ulFreq - 1;    /* 自动重装的值 */
        }
        
        htim.Instance = TIM12;
        htim.Init.Period            = usPeriod;
        htim.Init.Prescaler         = usPrescaler;
        htim.Init.ClockDivision     = 0;
        htim.Init.CounterMode       = TIM_COUNTERMODE_UP;
        htim.Init.RepetitionCounter = 0;
    
        if(HAL_TIM_Base_DeInit(&htim) != HAL_OK)
        {
            Error_Handler(__FILE__, __LINE__);        
        }
        
        if(HAL_TIM_Base_Init(&htim) != HAL_OK)
        {
            Error_Handler(__FILE__, __LINE__);        
        }
     
        sConfig.OCMode     = TIM_OCMODE_PWM1;
        sConfig.OCPolarity = TIM_OCPOLARITY_LOW;
        sConfig.Pulse = usPeriod / 2;     /* 占空比50% */
        if(HAL_TIM_OC_ConfigChannel(&htim, &sConfig, TIM_CHANNEL_1) != HAL_OK)
        {
            Error_Handler(__FILE__, __LINE__);
        }
    
        /* 启动OC1 */
        if(HAL_TIM_OC_Start(&htim, TIM_CHANNEL_1) != HAL_OK)
        {
            Error_Handler(__FILE__, __LINE__);
        }
     
        /* TIM12的TRGO用于触发DMAMUX的请求发生器 */
        sMasterConfig.MasterOutputTrigger = TIM_TRGO_OC1REF;
        sMasterConfig.MasterOutputTrigger2 = TIM_TRGO2_RESET;
        sMasterConfig.MasterSlaveMode = TIM_MASTERSLAVEMODE_DISABLE;
        
        HAL_TIMEx_MasterConfigSynchronization(&htim, &sMasterConfig);
    }
    #endif

    这个函数支持的触发频率很宽,对于DAC856X来说,如果样本点设置为100个的话,此函数推荐的触发频率是100Hz到1MHz,具体可以支持到最高触发速度计算看本章4.7.7小节即可。

    74.7.3 第3步:DMAMUX同步触发SPI DMA传输

    DMA和DMAMUX的配置如下:

    /*
    *********************************************************************************************************
    *    函 数 名: bsp_spiDamStart
    *    功能说明: 启动SPI DMA传输
    *    形    参: _ulFreq 范围推荐100Hz-1MHz
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void bsp_spiDamStart(uint32_t _ulFreq)
    {
        /* 设置模式,要切换到DMA CIRCULAR模式 */
        s_SpiDmaMode = 1;
        
        bsp_InitSPIParam(SPI_BAUDRATEPRESCALER_4, SPI_PHASE_2EDGE, SPI_POLARITY_LOW);
        
        /* 使能DMA时钟 */
        DMAx_CLK_ENABLE();      
    
        /* SPI DMA发送配置 */        
        hdma_tx.Instance                 = SPIx_TX_DMA_STREAM;      /* 例化使用的DMA数据流 */
        hdma_tx.Init.FIFOMode            = DMA_FIFOMODE_ENABLE;     /* 使能FIFO */
        hdma_tx.Init.FIFOThreshold       = DMA_FIFO_THRESHOLD_FULL; /* 用于设置阀值 */
        hdma_tx.Init.MemBurst            = DMA_MBURST_SINGLE;        /* 用于存储器突发 */
        hdma_tx.Init.PeriphBurst         = DMA_PBURST_SINGLE;        /* 用于外设突发 */
        hdma_tx.Init.Request             = SPIx_TX_DMA_REQUEST;     /* 请求类型 */  
        hdma_tx.Init.Direction           = DMA_MEMORY_TO_PERIPH;    /* 传输方向是从存储器到外设 */  
        hdma_tx.Init.PeriphInc           = DMA_PINC_DISABLE;        /* 外设地址自增禁止 */ 
        hdma_tx.Init.MemInc              = DMA_MINC_ENABLE;         /* 存储器地址自增使能 */  
        hdma_tx.Init.PeriphDataAlignment = DMA_PDATAALIGN_WORD;     /* 外设数据传输位宽选择字节,即8bit */ 
        hdma_tx.Init.MemDataAlignment    = DMA_MDATAALIGN_WORD;     /* 存储器数据传输位宽选择字节,即8bit */    
        hdma_tx.Init.Mode                = DMA_CIRCULAR;            /* 正常模式 */
        hdma_tx.Init.Priority            = DMA_PRIORITY_LOW;        /* 优先级低 */
    
         /* 复位DMA */
        if(HAL_DMA_DeInit(&hdma_tx) != HAL_OK)
        {
            Error_Handler(__FILE__, __LINE__);     
        }
        
         /* 初始化DMA */
        if(HAL_DMA_Init(&hdma_tx) != HAL_OK)
        {
            Error_Handler(__FILE__, __LINE__);     
        }
    
        /* 关联DMA句柄到SPI */
        __HAL_LINKDMA(&hspi, hdmatx, hdma_tx);    
    
    
        /* 关闭DMA发送中断 */
        HAL_NVIC_SetPriority(SPIx_DMA_TX_IRQn, 1, 0);
        HAL_NVIC_DisableIRQ(SPIx_DMA_TX_IRQn);
        
        /* 关闭SPI中断 */
        HAL_NVIC_SetPriority(SPIx_IRQn, 1, 0);
        HAL_NVIC_DisableIRQ(SPIx_IRQn);
    
        /* 同步触发配置 */
        dmamux_syncParams.EventEnable   = ENABLE;                             
        dmamux_syncParams.SyncPolarity  = HAL_DMAMUX_SYNC_RISING;          
        dmamux_syncParams.RequestNumber = 1;                   
        dmamux_syncParams.SyncSignalID  = HAL_DMAMUX1_SYNC_TIM12_TRGO; 
        dmamux_syncParams.SyncEnable    = ENABLE;    
        
        HAL_DMAEx_ConfigMuxSync(&hdma_tx, &dmamux_syncParams);
        
        //LPTIM_Config(_ulFreq);
        
        TIM12_Config(_ulFreq);
        
        /* 启动DMA传输 */
        if(HAL_SPI_Transmit_DMA(&hspi, (uint8_t*)g_spiTxBuf, g_spiLen/4)!= HAL_OK)    
        {
            Error_Handler(__FILE__, __LINE__);
        }
    }

    这段程序里面最关键的就是置红的部分。作用是配置DMAMUX的同步触发功能,触发周期由TIM12控制。

    74.7.4 第4步:24bit数据的DMA传输解决办法

    由于通用DMA1和DMA2仅支持8bit,16bit和32bit数据传输,我们这里要传输24bit数据,解决的关键就是配置DMA为传输宽度为32bit,并将传输的数据由24bit再补一个8bit的任意值组成32bit即可,实际的传输会由SPI完成。

    /*
    *********************************************************************************************************
    *    函 数 名: DAC8562_SetDacDataDMA
    *    功能说明: DAC8562数据发送,DMA方式
    *    形    参: _ch         1表示通道1输出
    *                         2表示通道2输出
    *                         3表示通道1和2都输出
    *                         4表示通道1和2都输出,并且附加一个控制命令,有效防止传输错误时恢复。
    *             _pbufch1    通道1数据缓冲地址
    *             _pbufch2    通道2数据缓冲地址
    *             _sizech1    通道1数据大小
    *             _sizech2    通道2数据大小
    *             _ulFreq     触发频率,范围2KB- 1MHz,注意这个参数是触发频率,并不是波形周期,触发一次,SPI DMA
    *                         传输一次24bit数据。
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void DAC8562_SetDacDataDMA(uint8_t _ch, uint16_t *_pbufch1, uint16_t *_pbufch2, uint32_t _sizech1, uint32_t _sizech2, uint32_t _ulFreq)
    {
        uint32_t i;
        uint32_t _cmd;
        
        g_spiLen = 0;
        
        switch (_ch)
        {
            /* 通道1数据发送 */
            case 1:
                for(i = 0; i < _sizech1; i++)
                {
                    _cmd = (3 << 19) | (0 << 16) | (_pbufch1[i] << 0);
                    
                    g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                    g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                    g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                    g_spiTxBuf[g_spiLen++] = 0xff;
                }
                break;
        
            /* 通道2数据发送 */
            case 2:
                for(i = 0; i < _sizech2; i++)
                {
                    _cmd = (3 << 19) | (1 << 16) | (_pbufch2[i] << 0);
                    
                    g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                    g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                    g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                    g_spiTxBuf[g_spiLen++] = 0xff;
                }
                break;
    
            /* 通道1和2混合发送 */            
            case 3:
                if(_sizech1 == _sizech2)
                {
                    
                    for(i = 0; i < _sizech1; i++)
                    {
                        _cmd = (3 << 19) | (0 << 16) | (_pbufch1[i] << 0);
                        
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                        g_spiTxBuf[g_spiLen++] = 0xff;
                        
                        _cmd = (3 << 19) | (1 << 16) | (_pbufch2[i] << 0);
                        
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                        g_spiTxBuf[g_spiLen++] = 0xff;
                    }            
                }
                else
                {
                    for(i = 0; i < _sizech1; i++)
                    {
                        _cmd = (3 << 19) | (0 << 16) | (_pbufch1[i] << 0);
                        
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                        g_spiTxBuf[g_spiLen++] = 0xff;
                    }
                    for(i = 0; i < _sizech2; i++)
                    {
                        _cmd = (3 << 19) | (1 << 16) | (_pbufch2[i] << 0);
                        
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                        g_spiTxBuf[g_spiLen++] = 0xff;
                    }
                }
                break;
                
            /* 插入关键命令,防止传输错误 */            
            case 4:
                if(_sizech1 == _sizech2)
                {
                    
                    for(i = 0; i < _sizech1; i++)
                    {
                        _cmd = (3 << 19) | (0 << 16) | (_pbufch1[i] << 0);
                        
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                        g_spiTxBuf[g_spiLen++] = 0xff;
                        
                        _cmd = (3 << 19) | (1 << 16) | (_pbufch2[i] << 0);
                        
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                        g_spiTxBuf[g_spiLen++] = 0xff;
                    }            
                }
                else
                {
                    for(i = 0; i < _sizech1; i++)
                    {
                        _cmd = (3 << 19) | (0 << 16) | (_pbufch1[i] << 0);
                        
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                        g_spiTxBuf[g_spiLen++] = 0xff;
                    }
                    for(i = 0; i < _sizech2; i++)
                    {
                        _cmd = (3 << 19) | (1 << 16) | (_pbufch2[i] << 0);
                        
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                        g_spiTxBuf[g_spiLen++] = 0xff;
                    }
                }
                
                /* 数据填充完毕后,插入关键命令,数据输出过程中被8256误识别为命令处理*/
                _cmd = (7 << 19) | (0 << 16) | (1 << 0);
                
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                g_spiTxBuf[g_spiLen++] = 0xff;
                break;
            
            default:
                break;
    
        }
        
        bsp_spiDamStart(_ulFreq);
    }

    74.7.5 第5步:DMA缓冲区的MPU配置

    因为工程是用的DTCM做的主RAM空间,这个空间无法使用通用DMA1和DMA2,通过本手册第26章的内存块超方便使用方式,将DMA缓冲定义到SRAM4上:

    #if defined ( __CC_ARM )    /* IAR *******/
        __attribute__((section (".RAM_D3"))) uint8_t g_spiTxBuf[SPI_BUFFER_SIZE];   
        __attribute__((section (".RAM_D3"))) uint8_t g_spiRxBuf[SPI_BUFFER_SIZE];
    #elif defined (__ICCARM__)  /* MDK ********/
        #pragma location = ".RAM_D3"
        uint8_t g_spiTxBuf[SPI_BUFFER_SIZE];   
        #pragma location = ".RAM_D3"
        uint8_t g_spiRxBuf[SPI_BUFFER_SIZE];
    #endif

    由于程序里面开启了数据Cache,会造成DMA和CPU访问SRAM4数据不一致的问题,特此将SRAM4空间关闭Cache。

        /* 配置SRAM4的MPU属性为Non-cacheable */
        MPU_InitStruct.Enable           = MPU_REGION_ENABLE;
        MPU_InitStruct.BaseAddress      = 0x38000000;
        MPU_InitStruct.Size             = MPU_REGION_SIZE_64KB;
        MPU_InitStruct.AccessPermission = MPU_REGION_FULL_ACCESS;
        MPU_InitStruct.IsBufferable     = MPU_ACCESS_NOT_BUFFERABLE;
        MPU_InitStruct.IsCacheable      = MPU_ACCESS_NOT_CACHEABLE;
        MPU_InitStruct.IsShareable      = MPU_ACCESS_NOT_SHAREABLE;
        MPU_InitStruct.Number           = MPU_REGION_NUMBER2;
        MPU_InitStruct.TypeExtField     = MPU_TEX_LEVEL1;
        MPU_InitStruct.SubRegionDisable = 0x00;
        MPU_InitStruct.DisableExec      = MPU_INSTRUCTION_ACCESS_ENABLE;
    
        HAL_MPU_ConfigRegion(&MPU_InitStruct);

    74.7.6 第6步:DAC856X的时钟极性和时钟相位配置

    注:与本章4.6.3小节内容是一样的。

    首先回忆下STM32H7支持的4种时序配置。

    •   当CPOL = 1, CPHA = 1时

    SCK引脚在空闲状态处于高电平,SCK引脚的第2个边沿捕获传输的第1个数据。

    •   当CPOL = 0, CPHA = 1时

    SCK引脚在空闲状态处于低电平,SCK引脚的第2个边沿捕获传输的第1个数据。

    •  当CPOL = 1, CPHA = 0时

    SCK引脚在空闲状态处于高电平,SCK引脚的第1个边沿捕获传输的第1个数据。

    •   当CPOL = 0 ,CPHA= 0时

    SCK引脚在空闲状态处于低电平,SCK引脚的第1个边沿捕获传输的第1个数据。

     

    有了H7支持的时序配置,再来看下DAC856X的时序图:

     

    首先DAC856X是下降升沿做数据采集,所以STM32H7的可选的配置就是:

    CHOL = 0,  CPHA = 1

    CHOL = 1,  CPHA = 0

    对于这两种情况的主要区别是空闲状态下SCLK时钟选择高电平还是低电平,根据上面的时序图和DAC856X的数据手册,两种情况下都可以正常运行。经过实际测试,STM32H7使用这两个配置确实都可以正常运行。程序里面默认是选择CHOL = 0,  CPHA = 1。

    74.7.7 第7步:DAC856X的最高更新速度计算

    这里特别注意一点,定时器触发一次,就会让SPI以DMA方式传输24bit数据。

    配置条件:

    •   SPI时钟是50MHz。
    •   SPI数据传为24bit,每个bit需要时间20ns。
    •   hspi.Init.MasterSSIdleness = SPI_MASTER_SS_IDLENESS_00CYCLE      插入到NSS有效边沿和第一个数据开始之间的额外延迟,单位SPI时钟周期个数,即20ns。
    •   hspi.Init.MasterInterDataIdleness = SPI_MASTER_INTERDATA_IDLENESS_10CYCLE     两个连续数据帧之间插入的最小时间延迟,单位SPI时钟周期个数,即20ns。

    根据上面的配置,传输一帧(24bit)数据需要的时间:

    24bit * 20ns+ SPI_MASTER_SS_IDLENESS_00CYCLE * 20ns

    + SPI_MASTER_INTERDATA_IDLENESS_10CYCLE * 20ns

    = 24bit * 20ns + 0 * 20ns + 10 * 20ns

    = 680ns。

    那么这种配置下,可以支持最高触发速度是1 / 680ns = 1.47MHz,如果想速度再提升些,可以降低参数hspi.Init.MasterInterDataIdleness,推荐的最小值是5个时钟周期,那么可以支持的最高触发速度是1/580ns = 1.7MHz。

    认识到这些后,实际输出的波形周期也比较好算了,比如我们设置10个样本点为一个周期,那么触发速度为1MHz的时候,那么波形周期就是100KHz。

    74.7.8 第8步:DAC值和电压值互转

    DAC856X模块的输出电压范围是-10V到10V,对应的编码值范围是0到65535,为了方便大家做互转,专门做了两个函数:

    /*
    *********************************************************************************************************
    *    函 数 名: DAC8562_DacToVoltage
    *    功能说明: 将DAC值换算为电压值,单位0.1mV
    *    形    参: _dac  16位DAC字
    *    返 回 值: 电压。单位0.1mV
    *********************************************************************************************************
    */
    int32_t DAC8562_DacToVoltage(uint16_t _dac)
    {
        int32_t y;
    
        /* CaculTwoPoint(int32_t x1, int32_t y1, int32_t x2, int32_t y2, int32_t x);*/
        y =  CaculTwoPoint(X1, Y1, X2, Y2, _dac);
        return y;
    }
    
    /*
    *********************************************************************************************************
    *    函 数 名: DAC8562_VoltageToDac
    *    功能说明: 将电压值转换为DAC置
    *    形    参: _volt 电压,单位0.1mV
    *    返 回 值: 16位DAC字
    *********************************************************************************************************
    */
    uint32_t DAC8562_VoltageToDac(int32_t _volt)
    {
        /* CaculTwoPoint(int32_t x1, int32_t y1, int32_t x2, int32_t y2, int32_t x);*/
        return CaculTwoPoint(Y1, X1, Y2, X2, _volt);
    }

    74.7.9 第9步:防止SPI DMA批量数据传输错误解决办法

    使用SPI DMA批量数据传输过程中,要防止一些数据被DAC856X错误识别成关键命令,从而造成DAC856X工作异常,其中最重要的一个关键命令就下面这个:

    /* 选择内部参考并复位2个DAC的增益=2 (复位时,内部参考是禁止的) */
    DAC8562_WriteCmd((7 << 19) | (0 << 16) | (1 << 0));

    针对这个问题,函数DAC8562_SetDacDataDMA专门做了一个传输方式4:

    /* 插入关键命令,防止传输错误 */            
    case 4:
        if(_sizech1 == _sizech2)
        {
            
            for(i = 0; i < _sizech1; i++)
            {
                _cmd = (3 << 19) | (0 << 16) | (_pbufch1[i] << 0);
                
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                g_spiTxBuf[g_spiLen++] = 0xff;
                
                _cmd = (3 << 19) | (1 << 16) | (_pbufch2[i] << 0);
                
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                g_spiTxBuf[g_spiLen++] = 0xff;
            }            
        }
        else
        {
            for(i = 0; i < _sizech1; i++)
            {
                _cmd = (3 << 19) | (0 << 16) | (_pbufch1[i] << 0);
                
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                g_spiTxBuf[g_spiLen++] = 0xff;
            }
            for(i = 0; i < _sizech2; i++)
            {
                _cmd = (3 << 19) | (1 << 16) | (_pbufch2[i] << 0);
                
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
                g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
                g_spiTxBuf[g_spiLen++] = 0xff;
            }
        }
        
        /* 数据填充完毕后,插入关键命令,数据输出过程中被8256误识别为命令处理*/
        _cmd = (7 << 19) | (0 << 16) | (1 << 0);
        
        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd);
        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 8);
        g_spiTxBuf[g_spiLen++] = (uint8_t)(_cmd >> 16);
        g_spiTxBuf[g_spiLen++] = 0xff;
        break;

    解决办法是在批量数据的末尾附一个命令,通过这种方式可以有效防止DAC856X工作异常。

    74.8 SPI总线板级支持包(bsp_spi_bus.c)

    SPI总线驱动文件bsp_spi_bus.c主要实现了如下几个API供用户调用:

    •   bsp_InitSPIBus
    •   bsp_InitSPIParam
    •   bsp_spiTransfer

    74.8.1 函数bsp_InitSPIBus

    函数原型:

    void bsp_InitSPIBus(void)

    函数描述:

    此函数主要用于SPI总线的初始化,在bsp.c文件调用一次即可。

    74.8.2 函数bsp_InitSPIParam

    函数原型:

    void bsp_InitSPIParam(uint32_t _BaudRatePrescaler, uint32_t _CLKPhase, uint32_t _CLKPolarity)

    函数描述:

    此函数用于SPI总线的配置。

    函数参数:

    •   第1个参数SPI总线的分频设置,支持的参数如下:

    SPI_BAUDRATEPRESCALER_2    2分频

    SPI_BAUDRATEPRESCALER_4    4分频

    SPI_BAUDRATEPRESCALER_8    8分频

    SPI_BAUDRATEPRESCALER_16   16分频

    SPI_BAUDRATEPRESCALER_32   32分频

    SPI_BAUDRATEPRESCALER_64   64分频

    SPI_BAUDRATEPRESCALER_128  128分频

    SPI_BAUDRATEPRESCALER_256  256分频

    •   第2个参数用于时钟相位配置,支持的参数如下:

    SPI_PHASE_1EDGE     SCK引脚的第1个边沿捕获传输的第1个数据

    SPI_PHASE_2EDGE     SCK引脚的第2个边沿捕获传输的第1个数据

    •   第3个参数是时钟极性配置,支持的参数如下:

    SPI_POLARITY_LOW   SCK引脚在空闲状态处于低电平

    SPI_POLARITY_HIGH   SCK引脚在空闲状态处于高电平

    74.8.3 函数bsp_spiTransfer

    函数原型:

    void bsp_spiTransfer(void)

    函数描述:

    此函数用于启动SPI数据传输,支持查询,中断和DMA方式传输。

    74.9 DAC856X支持包中断方式(bsp_spi_dac8562.c)

    DAC856X驱动文件bsp_spi_dac8562.c主要实现了如下几个API供用户调用:

    •   bsp_InitDAC8562
    •   DAC8562_SetCS
    •   DAC8562_WriteCmd
    •   DAC8562_SetDacData
    •   DAC8562_DacToVoltage
    •   DAC8562_VoltageToDac

    74.9.1 函数bsp_InitDAC8562

    函数原型:

    void bsp_InitDAC8562(void)

    函数描述:

    主要用于DAC856X的初始化,调用前务必先调用函数bsp_InitSPIBus初始化SPI外设。

    74.9.2 函数DAC8562_SetCS

    函数原型:

    void DAC8562_SetCS(uint8_t _Level)

    函数描述:

    此函数用于片选DAC8562。

    函数参数:

    •   第1个参数为0表示选中,为1表示取消选中。

    74.9.3 函数DAC8562_WriteCmd

    函数原型:

    void DAC8562_WriteCmd(uint32_t _cmd)

    函数描述:

    此函数用于向SPI总线发送24个bit数据。

    函数参数:

    •   第1个参数为24bit数据。

    74.9.4 函数DAC8562_SetDacData

    函数原型:

    void DAC8562_SetDacData(uint8_t _ch, uint16_t _dac)

    函数描述:

    此函数用于设置DAC输出,并立即更新。

    函数参数:

    •   第1个参数为0表示通道1,为1表示通道2。
    •   第2个参数是DAC数值设置,范围0到65535,0对应最小电压值,65535对应最大电压值。

    74.9.5 函数DAC8562_DacToVoltage

    函数原型:

    int32_t DAC8562_DacToVoltage(uint16_t _dac)

    函数描述:

    此函数用于将DAC值换算为电压值,单位0.1mV。

    函数参数:

    •   第1个参数DAC数值,范围0到65535。
    •   返回值,返回电压值,单位0.1mV。

    74.9.6 函数DAC8562_VoltageToDac

    函数原型:

    uint32_t DAC8562_VoltageToDac(int32_t _volt)

    函数描述:

    此函数用于将电压值转换为DAC值。

    函数参数:

    •   第1个参数是电压值,范围-100000到100000,单位0.1mV。
    •   返回值,返回DAC值。

    74.10          DAC856X支持包DMA方式(bsp_spidma_dac8562.c)

    DAC856X驱动文件bsp_spidam_dac8562.c涉及到的函数比较多,我们主要介绍用到的如下几个函数:

    •   bsp_InitDAC8562
    •   DAC8562_SetDacDataDMA
    •   DAC8562_WriteCmd
    •   DAC8562_SetDacData

    74.10.1   函数bsp_InitDAC8562

    函数原型:

    void bsp_InitDAC8562(void)

    函数描述:

    主要用于DAC856X的初始化。

    74.10.2   函数DAC8562_SetDacDataDMA

    函数原型:

    void DAC8562_SetDacDataDMA(uint8_t _ch, uint16_t *_pbufch1, uint16_t *_pbufch2, uint32_t _sizech1, uint32_t _sizech2, uint32_t _ulFreq)

    函数描述:

    此函数用于SPI DMA方式数据发送。

    函数参数:

    •   第1个参数用于选择的通道:
      •   1表示通道1输出
      •   2表示通道2输出
      •   3表示通道1和2都输出
      •   4表示通道1和2都输出,并且附加一个控制命令,有效防止传输错误时恢复。
    •   第2个参数表示通道1数据缓冲地址。
    •   第3个参数表示通道2数据缓冲地址。
    •   第4个参数表示通道1数据大小。
    •   第5个参数表示通道2数据大小。
    •   第6个参数表示触发频率,推荐范围100Hz- 1MHz,注意这个参数是触发频率,并不是波形周期。这里触发一次,SPI DMA传输一次24bit数据。

    74.10.3   函数DAC8562_WriteCmd

    函数原型:

    void DAC8562_WriteCmd(uint32_t _cmd)

    函数描述:

    此函数用于向SPI总线发送24个bit数据。

    函数参数:

    • 第1个参数为24bit数据。

    74.10.4   函数DAC8562_SetDacData

    函数原型:

    void DAC8562_SetDacData(uint8_t _ch, uint16_t _dac)

    函数描述:

    此函数用于设置DAC输出,并立即更新。

    函数参数:

    • 第1个参数为0表示通道1,为1表示通道2。
    •  第2个参数是DAC数值设置,范围0到65535,0对应最小电压值,65535对应最大电压值。

    74.11          DAC856X驱动移植和使用(中断更新方式)

    DAC856X移植步骤如下:

    第1步:复制bsp_spi_bus.c,bsp_spi_bus.h,bsp_spi_dac8562.c,bsp_spi_dac8562.h到自己的工程目录,并添加到工程里面。

    第2步:根据使用的第几个SPI,SPI时钟,SPI引脚和DMA通道等,修改bsp_spi_bus.c文件开头的宏定义

    /*
    *********************************************************************************************************
    *                                时钟,引脚,DMA,中断等宏定义
    *********************************************************************************************************
    */
    #define SPIx                            SPI1
    #define SPIx_CLK_ENABLE()                __HAL_RCC_SPI1_CLK_ENABLE()
    #define DMAx_CLK_ENABLE()                __HAL_RCC_DMA2_CLK_ENABLE()
    
    #define SPIx_FORCE_RESET()                __HAL_RCC_SPI1_FORCE_RESET()
    #define SPIx_RELEASE_RESET()            __HAL_RCC_SPI1_RELEASE_RESET()
    
    #define SPIx_SCK_CLK_ENABLE()            __HAL_RCC_GPIOB_CLK_ENABLE()
    #define SPIx_SCK_GPIO                    GPIOB
    #define SPIx_SCK_PIN                    GPIO_PIN_3
    #define SPIx_SCK_AF                        GPIO_AF5_SPI1
    
    #define SPIx_MISO_CLK_ENABLE()            __HAL_RCC_GPIOB_CLK_ENABLE()
    #define SPIx_MISO_GPIO                    GPIOB
    #define SPIx_MISO_PIN                     GPIO_PIN_4
    #define SPIx_MISO_AF                    GPIO_AF5_SPI1
    
    #define SPIx_MOSI_CLK_ENABLE()            __HAL_RCC_GPIOB_CLK_ENABLE()
    #define SPIx_MOSI_GPIO                    GPIOB
    #define SPIx_MOSI_PIN                     GPIO_PIN_5
    #define SPIx_MOSI_AF                    GPIO_AF5_SPI1
    
    #define SPIx_TX_DMA_STREAM               DMA2_Stream3
    #define SPIx_RX_DMA_STREAM               DMA2_Stream2
    
    #define SPIx_TX_DMA_REQUEST              DMA_REQUEST_SPI1_TX
    #define SPIx_RX_DMA_REQUEST              DMA_REQUEST_SPI1_RX
    
    #define SPIx_DMA_TX_IRQn                 DMA2_Stream3_IRQn
    #define SPIx_DMA_RX_IRQn                 DMA2_Stream2_IRQn
    
    #define SPIx_DMA_TX_IRQHandler           DMA2_Stream3_IRQHandler
    #define SPIx_DMA_RX_IRQHandler           DMA2_Stream2_IRQHandler
    
    #define SPIx_IRQn                        SPI1_IRQn
    #define SPIx_IRQHandler                  SPI1_IRQHandler

    第3步:根据芯片支持的时钟速度,时钟相位和时钟极性配置函数DAC8562_SetCS。

    /*
    *********************************************************************************************************
    *    函 数 名: DAC8562_SetCS
    *    功能说明: DAC8562 片选控制函数
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void DAC8562_SetCS(uint8_t _Level)
    {
        if (_Level == 0)
        {
            bsp_SpiBusEnter();    /* 占用SPI总线  */    
            bsp_InitSPIParam(SPI_BAUDRATEPRESCALER_4, SPI_PHASE_2EDGE, SPI_POLARITY_LOW);        
            CS_0();
        }
        else
        {        
            CS_1();    
            bsp_SpiBusExit();    /* 释放SPI总线 */
        }    
    }

    第4步:根据使用的片选,CLR和LDAC引脚,修改bsp_spi_dac8562.c文件开头的宏定义。

    /* SYNC, 也就是CS片选 */    
    #define CS_CLK_ENABLE()          __HAL_RCC_GPIOG_CLK_ENABLE()
    #define CS_GPIO                GPIOG
    #define CS_PIN                GPIO_PIN_10
    #define CS_1()                CS_GPIO->BSRR = CS_PIN
    #define CS_0()                CS_GPIO->BSRR = ((uint32_t)CS_PIN << 16U)
    
    /* CLR */    
    #define CLR_CLK_ENABLE()          __HAL_RCC_GPIOE_CLK_ENABLE()
    #define CLR_GPIO                 GPIOE
    #define CLR_PIN                GPIO_PIN_4
    #define CLR_1()                CLR_GPIO->BSRR = CLR_PIN
    #define CLR_0()                CLR_GPIO->BSRR = ((uint32_t)CLR_PIN << 16U)
    
    /* LDAC 使用扩展IO ,特别注意,我们这里是用的扩展IO控制的 */    
    #define LDAC_1()            HC574_SetPin(NRF24L01_CE, 1);
    #define LDAC_0()            HC574_SetPin(NRF24L01_CE, 0);

    第5步:如果使用DMA方式的话,请不要使用TCM RAM,因为通用DMA1和DMA2不支持。并为了防止DMA和CPU同时访问DMA缓冲造成的数据一致性问题,将这块空间关闭Cache处理,比如使用的SRAM4:

    /* 配置SRAM4的MPU属性为Non-cacheable */
    MPU_InitStruct.Enable           = MPU_REGION_ENABLE;
    MPU_InitStruct.BaseAddress      = 0x38000000;
    MPU_InitStruct.Size             = MPU_REGION_SIZE_64KB;
    MPU_InitStruct.AccessPermission = MPU_REGION_FULL_ACCESS;
    MPU_InitStruct.IsBufferable     = MPU_ACCESS_NOT_BUFFERABLE;
    MPU_InitStruct.IsCacheable      = MPU_ACCESS_NOT_CACHEABLE;
    MPU_InitStruct.IsShareable      = MPU_ACCESS_NOT_SHAREABLE;
    MPU_InitStruct.Number           = MPU_REGION_NUMBER2;
    MPU_InitStruct.TypeExtField     = MPU_TEX_LEVEL1;
    MPU_InitStruct.SubRegionDisable = 0x00;
    MPU_InitStruct.DisableExec      = MPU_INSTRUCTION_ACCESS_ENABLE;
    
    HAL_MPU_ConfigRegion(&MPU_InitStruct);

    第6步:初始化SPI。

    /* 针对不同的应用程序,添加需要的底层驱动模块初始化函数 */
    bsp_InitSPIBus();    /* 配置SPI总线 */        
    bsp_InitDAC8562();    /* 初始化配置DAC8562/8563 */

    第7步:DAC856X驱动主要用到HAL库的SPI驱动文件,简单省事些可以添加所有HAL库C源文件进来。

    第8步:应用方法看本章节配套例子即可。

    74.12          DAC856X驱动移植和使用(SPI DMA更新方式)

    DAC856X移植步骤如下:

    第1步:复制bsp_spidma_dac8562.c,bsp_spidma_dac8562.h到自己的工程目录,并添加到工程里面。

    第2步:根据使用的第几个SPI,SPI时钟,SPI引脚和DMA通道等,修改bsp_spidma_dac8562.c文件开头的宏定义

    /*
    *********************************************************************************************************
    *                                时钟,引脚,DMA,中断等宏定义
    *********************************************************************************************************
    */
    #define SPIx                            SPI1
    #define SPIx_CLK_ENABLE()                __HAL_RCC_SPI1_CLK_ENABLE()
    #define DMAx_CLK_ENABLE()                __HAL_RCC_DMA2_CLK_ENABLE()
    
    #define SPIx_FORCE_RESET()                __HAL_RCC_SPI1_FORCE_RESET()
    #define SPIx_RELEASE_RESET()            __HAL_RCC_SPI1_RELEASE_RESET()
    
    /* SYNC, 也就是CS片选 */    
    #define SPIx_NSS_CLK_ENABLE()             __HAL_RCC_GPIOG_CLK_ENABLE()
    #define SPIx_NSS_GPIO                    GPIOG
    #define SPIx_NSS_PIN                    GPIO_PIN_10
    #define SPIx_NSS_AF                    GPIO_AF5_SPI1
    
    #define SPIx_SCK_CLK_ENABLE()            __HAL_RCC_GPIOB_CLK_ENABLE()
    #define SPIx_SCK_GPIO                    GPIOB
    #define SPIx_SCK_PIN                    GPIO_PIN_3
    #define SPIx_SCK_AF                    GPIO_AF5_SPI1
    
    #define SPIx_MISO_CLK_ENABLE()            __HAL_RCC_GPIOB_CLK_ENABLE()
    #define SPIx_MISO_GPIO                    GPIOB
    #define SPIx_MISO_PIN                     GPIO_PIN_4
    #define SPIx_MISO_AF                    GPIO_AF5_SPI1
    
    #define SPIx_MOSI_CLK_ENABLE()          __HAL_RCC_GPIOB_CLK_ENABLE()
    #define SPIx_MOSI_GPIO                  GPIOB
    #define SPIx_MOSI_PIN                   GPIO_PIN_5
    #define SPIx_MOSI_AF                  GPIO_AF5_SPI1
    
    #define SPIx_TX_DMA_STREAM              DMA2_Stream3
    #define SPIx_RX_DMA_STREAM              DMA2_Stream2
    
    #define SPIx_TX_DMA_REQUEST             DMA_REQUEST_SPI1_TX
    #define SPIx_RX_DMA_REQUEST             DMA_REQUEST_SPI1_RX
    
    #define SPIx_DMA_TX_IRQn                DMA2_Stream3_IRQn
    #define SPIx_DMA_RX_IRQn                DMA2_Stream2_IRQn
    
    #define SPIx_DMA_TX_IRQHandler          DMA2_Stream3_IRQHandler
    #define SPIx_DMA_RX_IRQHandler          DMA2_Stream2_IRQHandler
    
    #define SPIx_IRQn                       SPI1_IRQn
    #define SPIx_IRQHandler                 SPI1_IRQHandler

    第3步:根据使用的CLR和LDAC引脚,修改bsp_spidma_dac8562.c文件开头的宏定义。

    /* CLR */    
    #define CLR_CLK_ENABLE()     __HAL_RCC_GPIOE_CLK_ENABLE()
    #define CLR_GPIO            GPIOE
    #define CLR_PIN                GPIO_PIN_4
    #define CLR_1()                CLR_GPIO->BSRR = CLR_PIN
    #define CLR_0()                CLR_GPIO->BSRR = ((uint32_t)CLR_PIN << 16U)
    
    /* LDAC 使用扩展IO ,特别注意,我们这里是用的扩展IO控制的 */    
    #define LDAC_1()            HC574_SetPin(NRF24L01_CE, 1);
    #define LDAC_0()            HC574_SetPin(NRF24L01_CE, 0);

    第4步:如果使用DMA方式的话,请不要使用TCM RAM,因为通用DMA1和DMA2不支持。并为了防止DMA和CPU同时访问DMA缓冲造成的数据一致性问题,将这块空间关闭Cache处理,比如使用的SRAM4:

    /* 配置SRAM4的MPU属性为Non-cacheable */
    MPU_InitStruct.Enable           = MPU_REGION_ENABLE;
    MPU_InitStruct.BaseAddress      = 0x38000000;
    MPU_InitStruct.Size             = MPU_REGION_SIZE_64KB;
    MPU_InitStruct.AccessPermission = MPU_REGION_FULL_ACCESS;
    MPU_InitStruct.IsBufferable     = MPU_ACCESS_NOT_BUFFERABLE;
    MPU_InitStruct.IsCacheable      = MPU_ACCESS_NOT_CACHEABLE;
    MPU_InitStruct.IsShareable      = MPU_ACCESS_NOT_SHAREABLE;
    MPU_InitStruct.Number           = MPU_REGION_NUMBER2;
    MPU_InitStruct.TypeExtField     = MPU_TEX_LEVEL1;
    MPU_InitStruct.SubRegionDisable = 0x00;
    MPU_InitStruct.DisableExec      = MPU_INSTRUCTION_ACCESS_ENABLE;
    
    HAL_MPU_ConfigRegion(&MPU_InitStruct);

    第5步:初始化SPI。

    /* 针对不同的应用程序,添加需要的底层驱动模块初始化函数 */
    bsp_InitDAC8562();    /* 初始化配置DAC8562/8563 */

     第6步:DAC856X驱动主要用到HAL库的SPI驱动文件,简单省事些可以添加所有HAL库C源文件进来。

     第7步:应用方法看本章节配套例子即可

    74.13          实验例程设计框架

    通过程序设计框架,让大家先对配套例程有一个全面的认识,然后再理解细节,本次实验例程的设计框架如下:

     

      第1阶段,上电启动阶段:

    • 这部分在第14章进行了详细说明。

      第2阶段,进入main函数:

    •  第1部分,硬件初始化,主要是MPU,Cache,HAL库,系统时钟,滴答定时器和LED。
    •  第2部分,应用程序设计部分,实现DAC856X的简易信号发生器功能。。

    74.14          实验例程说明(MDK)

    注:本章是配套了两个例子的,这里我们以SPI DMA方式进行说明。

    配套例子:

    V7-052_DAC856x简易信号发生器(双通道SPI DMA方式,16bit分辨率, 正负10V输出)

    V7-053_DAC856x简易信号发生器(双通道SPI查询方式,16bit分辨率, 正负10V输出)

    实验目的:

    1. 学习SPI Flash的DAC8563的SPI DMA驱动方式实现。

    实验内容:

    1. 双通道DAC,轨到轨输出,16bit分辨率,支持50MHz的SPI时钟速度。
    2. 自带2.5V的内部参考基准,典型的温飘是4ppm/℃,使用内部2.5V参考基准的情况下,根据增益设置不同,DAC的输出量可以为0到2.5V或者0到5V。
    3. DAC8562和DAC8563完全兼容,区别仅仅在于CLR引脚有效时,DAC8562数据设置为0, DAC8563数据设置为32767,注意这是DAC的内部数据,不表示输出电压。 对于-10 ~ +10V输出的模块,DAC8562输出-10V, DAC8563输出0V。
    4. 无论是用DAC8562还是DAC8563芯片,只要软件不启动,本模块输出电压缺省状态都是0V。
    5. CLR脚悬浮时,电压在1.9V左右,容易受到干扰导致输出被清零。因此即使不用CLR控制功能,这个CLR脚也需要接固定电平(推荐接GND)。CLR是边沿触发,仅在下降沿信号出现执行清零。

    实验操作:

    1. 启动一个自动重装软件定时器,每100ms翻转一次LED2。
    2. K1键按下,双通道输出,通道1输出方波,通道2输出正弦波。
    3. K2键按下,双通道输出方波。
    4. K3键按下,双通道输出正弦波。
    5. 摇杆上键按下,通道1停止方波,通道2停止输出。
    6. 摇杆下键按下,双通道输出直流。
    7. 摇杆OK键按下,重新初始化。

    上电后串口打印的信息:

    波特率 115200,数据位 8,奇偶校验位无,停止位 1。

     

    波形效果:

     

    模块插入位置:

     

    程序设计:

      系统栈大小分配:

     

      RAM空间用的DTCM:

     

      硬件外设初始化

    硬件外设的初始化是在 bsp.c 文件实现:

    /*
    *********************************************************************************************************
    *    函 数 名: bsp_Init
    *    功能说明: 初始化所有的硬件设备。该函数配置CPU寄存器和外设的寄存器并初始化一些全局变量。只需要调用一次
    *    形    参:无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void bsp_Init(void)
    {
        /* 配置MPU */
        MPU_Config();
        
        /* 使能L1 Cache */
        CPU_CACHE_Enable();
    
        /* 
           STM32H7xx HAL 库初始化,此时系统用的还是H7自带的64MHz,HSI时钟:
           - 调用函数HAL_InitTick,初始化滴答时钟中断1ms。
           - 设置NVIV优先级分组为4。
         */
        HAL_Init();
    
        /* 
           配置系统时钟到400MHz
           - 切换使用HSE。
           - 此函数会更新全局变量SystemCoreClock,并重新配置HAL_InitTick。
        */
        SystemClock_Config();
    
        /* 
           Event Recorder:
           - 可用于代码执行时间测量,MDK5.25及其以上版本才支持,IAR不支持。
           - 默认不开启,如果要使能此选项,务必看V7开发板用户手册第xx章
        */    
    #if Enable_EventRecorder == 1  
        /* 初始化EventRecorder并开启 */
        EventRecorderInitialize(EventRecordAll, 1U);
        EventRecorderStart();
    #endif
        
    bsp_InitDWT();      /* 初始化DWT时钟周期计数器 */       
        bsp_InitKey();         /* 按键初始化,要放在滴答定时器之前,因为按钮检测是通过滴答定时器扫描 */
        bsp_InitTimer();       /* 初始化滴答定时器 */
        bsp_InitLPUart();     /* 初始化串口 */
        bsp_InitExtIO();     /* 初始化FMC总线74HC574扩展IO. 必须在 bsp_InitLed()前执行 */    
        bsp_InitLed();         /* 初始化LED */    
    bsp_InitExtSDRAM(); /* 初始化SDRAM */
    
        /* 针对不同的应用程序,添加需要的底层驱动模块初始化函数 */    
        bsp_InitDAC8562();    /* 初始化配置DAC8562/8563 */
    }

      MPU配置和Cache配置:

    数据Cache和指令Cache都开启。配置了AXI SRAM区(本例子未用到AXI SRAM)和FMC的扩展IO区以及SRAM4

    /*
    *********************************************************************************************************
    *    函 数 名: MPU_Config
    *    功能说明: 配置MPU
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    static void MPU_Config( void )
    {
        MPU_Region_InitTypeDef MPU_InitStruct;
    
        /* 禁止 MPU */
        HAL_MPU_Disable();
    
        /* 配置AXI SRAM的MPU属性为Write back, Read allocate,Write allocate */
        MPU_InitStruct.Enable           = MPU_REGION_ENABLE;
        MPU_InitStruct.BaseAddress      = 0x24000000;
        MPU_InitStruct.Size             = MPU_REGION_SIZE_512KB;
        MPU_InitStruct.AccessPermission = MPU_REGION_FULL_ACCESS;
        MPU_InitStruct.IsBufferable     = MPU_ACCESS_BUFFERABLE;
        MPU_InitStruct.IsCacheable      = MPU_ACCESS_CACHEABLE;
        MPU_InitStruct.IsShareable      = MPU_ACCESS_NOT_SHAREABLE;
        MPU_InitStruct.Number           = MPU_REGION_NUMBER0;
        MPU_InitStruct.TypeExtField     = MPU_TEX_LEVEL1;
        MPU_InitStruct.SubRegionDisable = 0x00;
        MPU_InitStruct.DisableExec      = MPU_INSTRUCTION_ACCESS_ENABLE;
    
        HAL_MPU_ConfigRegion(&MPU_InitStruct);
        
        
        /* 配置FMC扩展IO的MPU属性为Device或者Strongly Ordered */
        MPU_InitStruct.Enable           = MPU_REGION_ENABLE;
        MPU_InitStruct.BaseAddress      = 0x60000000;
        MPU_InitStruct.Size             = ARM_MPU_REGION_SIZE_64KB;    
        MPU_InitStruct.AccessPermission = MPU_REGION_FULL_ACCESS;
        MPU_InitStruct.IsBufferable     = MPU_ACCESS_BUFFERABLE;
        MPU_InitStruct.IsCacheable      = MPU_ACCESS_NOT_CACHEABLE;    
        MPU_InitStruct.IsShareable      = MPU_ACCESS_NOT_SHAREABLE;
        MPU_InitStruct.Number           = MPU_REGION_NUMBER1;
        MPU_InitStruct.TypeExtField     = MPU_TEX_LEVEL0;
        MPU_InitStruct.SubRegionDisable = 0x00;
        MPU_InitStruct.DisableExec      = MPU_INSTRUCTION_ACCESS_ENABLE;
        
        HAL_MPU_ConfigRegion(&MPU_InitStruct);
    
        /* 配置SRAM4的MPU属性为Non-cacheable */
        MPU_InitStruct.Enable           = MPU_REGION_ENABLE;
        MPU_InitStruct.BaseAddress      = 0x38000000;
        MPU_InitStruct.Size             = MPU_REGION_SIZE_64KB;
        MPU_InitStruct.AccessPermission = MPU_REGION_FULL_ACCESS;
        MPU_InitStruct.IsBufferable     = MPU_ACCESS_NOT_BUFFERABLE;
        MPU_InitStruct.IsCacheable      = MPU_ACCESS_NOT_CACHEABLE;
        MPU_InitStruct.IsShareable      = MPU_ACCESS_NOT_SHAREABLE;
        MPU_InitStruct.Number           = MPU_REGION_NUMBER2;
        MPU_InitStruct.TypeExtField     = MPU_TEX_LEVEL1;
        MPU_InitStruct.SubRegionDisable = 0x00;
        MPU_InitStruct.DisableExec      = MPU_INSTRUCTION_ACCESS_ENABLE;
    
        HAL_MPU_ConfigRegion(&MPU_InitStruct);
    
        /*使能 MPU */
        HAL_MPU_Enable(MPU_PRIVILEGED_DEFAULT);
    }
    
    /*
    *********************************************************************************************************
    *    函 数 名: CPU_CACHE_Enable
    *    功能说明: 使能L1 Cache
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    static void CPU_CACHE_Enable(void)
    {
        /* 使能 I-Cache */
        SCB_EnableICache();
    
        /* 使能 D-Cache */
        SCB_EnableDCache();
    }

      每10ms调用一次按键处理:

    按键处理是在滴答定时器中断里面实现,每10ms执行一次检测。

    /*
    *********************************************************************************************************
    *    函 数 名: bsp_RunPer10ms
    *    功能说明: 该函数每隔10ms被Systick中断调用1次。详见 bsp_timer.c的定时中断服务程序。一些处理时间要求
    *              不严格的任务可以放在此函数。比如:按键扫描、蜂鸣器鸣叫控制等。
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void bsp_RunPer10ms(void)
    {
        bsp_KeyScan10ms();
    }

      主功能:

    主程序实现如下操作:

    •   启动一个自动重装软件定时器,每100ms翻转一次LED2。
    •   K1键按下,双通道输出,通道1输出方波,通道2输出正弦波。
    •   K2键按下,双通道输出方波。
    •   K3键按下,双通道输出正弦波。
    •   摇杆上键按下,通道1停止方波,通道2停止输出。
    •   摇杆下键按下,双通道输出直流。
    •   摇杆OK键按下,重新初始化。
    /*
    *********************************************************************************************************
    *    函 数 名: main
    *    功能说明: c程序入口
    *    形    参: 无
    *    返 回 值: 错误代码(无需处理)
    *********************************************************************************************************
    */
    int main(void)
    {
        bsp_Init();        /* 硬件初始化 */
        
        PrintfLogo();    /* 打印例程名称和版本等信息 */
    
        DemoSpiDac();   /* SPI DAC测试 */
    }
    
    /*
    *********************************************************************************************************
    *    函 数 名: DemoSpiDac
    *    功能说明: DAC8562测试
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void DemoSpiDac(void)
    {
        uint8_t i=0;
        uint8_t ucKeyCode;    /* 按键代码 */
        
        sfDispMenu();        /* 打印命令提示 */
        
        bsp_StartAutoTimer(0, 200);    /* 启动1个100ms的自动重装的定时器 */
        
        
        /* 生成方波数据 */
        for(i =0; i< 50; i++)
        {
            ch1buf[i] = 0;
        }
        
        for(i =50; i< 100; i++)
        {
            ch1buf[i] = 65535;
        }
    
        /* 生成正弦波数据 */    
        MakeSinTable(ch2buf, 100, 0, 65535);
        
        /* 
           上电默认双通道输出:
            第1个参数:
              1 表示通道1输出
              2 表示通道2输出
              3 表示通道1和2都输出
              4 表示通道1和2都输出,并且附加一个控制命令,有效防止传输错误时恢复,即使插拔模块也不影响。
            最后一个参数:
              定时器触发速度1MHz,触发1次是一组24bit数据的传输。
              推荐范围100Hz - 1MHz。
        */
        DAC8562_SetDacDataDMA(4, ch1buf, ch2buf, sizeof(ch1buf)/sizeof(uint16_t),
     sizeof(ch2buf)/sizeof(uint16_t), 1000000);
        
        while(1)
        {
            bsp_Idle();        /* 这个函数在bsp.c文件。用户可以修改这个函数实现CPU休眠和喂狗 */
            
            /* 判断定时器超时时间 */
            if (bsp_CheckTimer(0))    
            {
                /* 每隔100ms 进来一次 */  
                bsp_LedToggle(2);
            }
            
            /* 按键滤波和检测由后台systick中断服务程序实现,我们只需要调用bsp_GetKey读取键值即可。 */
            ucKeyCode = bsp_GetKey();    /* 读取键值, 无键按下时返回 KEY_NONE = 0 */
            if (ucKeyCode != KEY_NONE)
            {
                switch (ucKeyCode)
                {
                    case KEY_DOWN_K1:            /* K1键按下,双通道输出,通道1输出方波,通道2输出正弦波 */
                        /* 生成方波数据 */
                        for(i =0; i< 50; i++)
                        {
                            ch1buf[i] = 0;
                        }
                        
                        for(i =50; i< 100; i++)
                        {
                            ch1buf[i] = 65535;
                        }
    
                        /* 生成正弦波数据 */    
                        MakeSinTable(ch2buf, 100, 0, 65535);
                        
                        /* 上电默认双通道输出,触发速度1MHz */
                        DAC8562_SetDacDataDMA(3, ch1buf, ch2buf, sizeof(ch1buf)/sizeof(uint16_t),
     sizeof(ch2buf)/sizeof(uint16_t), 1000000);
                        break;
    
                    case KEY_DOWN_K2:            /* K2键按下,双通道输出方波 */
                        /* 生成正弦波数据 */    
                        MakeSinTable(ch2buf, 100, 0, 65535);
                        
                        /* 上电默认双通道输出,触发速度1MHz */
                        DAC8562_SetDacDataDMA(3, ch2buf, ch2buf, sizeof(ch2buf)/sizeof(uint16_t),
     sizeof(ch2buf)/sizeof(uint16_t), 1000000);
                        break;
    
                    case KEY_DOWN_K3:            /* K3键按下,双通道输出正弦波 */
                        /* 生成方波数据 */
                        for(i =0; i< 50; i++)
                        {
                            ch1buf[i] = 0;
                        }
                        
                        for(i =50; i< 100; i++)
                        {
                            ch1buf[i] = 65535;
                        }
                        
                        /* 上电默认双通道输出,触发速度1MHz */
                        DAC8562_SetDacDataDMA(3, ch1buf, ch1buf, sizeof(ch1buf)/sizeof(uint16_t),
     sizeof(ch1buf)/sizeof(uint16_t), 1000000);    
                        break;
                    
                    case JOY_DOWN_U:            /* 摇杆上键按下,通道1停止方波,通道2停止输出 */
                        /* 通道1输出方波 */
                        for(i =0; i< 50; i++)
                        {
                            ch1buf[i] = 0;
                        }
                        
                        for(i =50; i< 100; i++)
                        {
                            ch1buf[i] = 65535;
                        }
                        
                        /* 仅通道1输出 */
                        DAC8562_SetDacDataDMA(1, ch1buf, ch2buf, sizeof(ch1buf)/sizeof(uint16_t),
     sizeof(ch2buf)/sizeof(uint16_t), 1000000);    
                        break;
                    
                    case JOY_DOWN_D:            /* 摇杆下键按下,双通道输出直流 */
                        /* 通道1输出负数10V */
                        DAC8562_SetDacData(0, 0);    
    
                        /* 通道2输出正10V */
                        DAC8562_SetDacData(1, 65535);        
                        break;
                    
                    case JOY_DOWN_OK:            /* 摇杆OK键按下,重新初始化  */
                        /* 初始化配置DAC8562/8563 */
                        //bsp_InitDAC8562();    
                        DAC8562_WriteCmd((7 << 19) | (0 << 16) | (1 << 0));
                        break;
    
                    default:
                        /* 其它的键值不处理 */
                        break;
                }
            }
        }
    }

    74.15          实验例程说明(IAR)

    注:本章是配套了两个例子的,这里我们以SPI DMA方式进行说明。

    配套例子:

    V7-052_DAC856x简易信号发生器(双通道SPI DMA方式,16bit分辨率, 正负10V输出)

    V7-053_DAC856x简易信号发生器(双通道SPI查询方式,16bit分辨率, 正负10V输出)

    实验目的:

    1. 学习SPI Flash的DAC8563的SPI DMA驱动方式实现。

    实验内容:

    1. 双通道DAC,轨到轨输出,16bit分辨率,支持50MHz的SPI时钟速度。
    2. 自带2.5V的内部参考基准,典型的温飘是4ppm/℃,使用内部2.5V参考基准的情况下,根据增益设置不同,DAC的输出量可以为0到2.5V或者0到5V。
    3. DAC8562和DAC8563完全兼容,区别仅仅在于CLR引脚有效时,DAC8562数据设置为0, DAC8563数据设置为32767,注意这是DAC的内部数据,不表示输出电压。 对于-10 ~ +10V输出的模块,DAC8562输出-10V, DAC8563输出0V。
    4. 无论是用DAC8562还是DAC8563芯片,只要软件不启动,本模块输出电压缺省状态都是0V。
    5. CLR脚悬浮时,电压在1.9V左右,容易受到干扰导致输出被清零。因此即使不用CLR控制功能,这个CLR脚也需要接固定电平(推荐接GND)。CLR是边沿触发,仅在下降沿信号出现执行清零。

    实验操作:

    1. 启动一个自动重装软件定时器,每100ms翻转一次LED2。
    2. K1键按下,双通道输出,通道1输出方波,通道2输出正弦波。
    3. K2键按下,双通道输出方波。
    4. K3键按下,双通道输出正弦波。
    5. 摇杆上键按下,通道1停止方波,通道2停止输出。
    6. 摇杆下键按下,双通道输出直流。
    7. 摇杆OK键按下,重新初始化。

    上电后串口打印的信息:

    波特率 115200,数据位 8,奇偶校验位无,停止位 1。

     

    波形效果:

     

    模块插入位置:

     

    程序设计:

      系统栈大小分配:

     

      RAM空间用的DTCM:

     

      硬件外设初始化

    硬件外设的初始化是在 bsp.c 文件实现:

    /*
    *********************************************************************************************************
    *    函 数 名: bsp_Init
    *    功能说明: 初始化所有的硬件设备。该函数配置CPU寄存器和外设的寄存器并初始化一些全局变量。只需要调用一次
    *    形    参:无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void bsp_Init(void)
    {
        /* 配置MPU */
        MPU_Config();
        
        /* 使能L1 Cache */
        CPU_CACHE_Enable();
    
        /* 
           STM32H7xx HAL 库初始化,此时系统用的还是H7自带的64MHz,HSI时钟:
           - 调用函数HAL_InitTick,初始化滴答时钟中断1ms。
           - 设置NVIV优先级分组为4。
         */
        HAL_Init();
    
        /* 
           配置系统时钟到400MHz
           - 切换使用HSE。
           - 此函数会更新全局变量SystemCoreClock,并重新配置HAL_InitTick。
        */
        SystemClock_Config();
    
        /* 
           Event Recorder:
           - 可用于代码执行时间测量,MDK5.25及其以上版本才支持,IAR不支持。
           - 默认不开启,如果要使能此选项,务必看V7开发板用户手册第xx章
        */    
    #if Enable_EventRecorder == 1  
        /* 初始化EventRecorder并开启 */
        EventRecorderInitialize(EventRecordAll, 1U);
        EventRecorderStart();
    #endif
        
    bsp_InitDWT();      /* 初始化DWT时钟周期计数器 */       
        bsp_InitKey();         /* 按键初始化,要放在滴答定时器之前,因为按钮检测是通过滴答定时器扫描 */
        bsp_InitTimer();       /* 初始化滴答定时器 */
        bsp_InitLPUart();     /* 初始化串口 */
        bsp_InitExtIO();     /* 初始化FMC总线74HC574扩展IO. 必须在 bsp_InitLed()前执行 */    
        bsp_InitLed();         /* 初始化LED */    
    bsp_InitExtSDRAM(); /* 初始化SDRAM */
    
        /* 针对不同的应用程序,添加需要的底层驱动模块初始化函数 */    
        bsp_InitDAC8562();    /* 初始化配置DAC8562/8563 */
    }

      MPU配置和Cache配置:

    数据Cache和指令Cache都开启。配置了AXI SRAM区(本例子未用到AXI SRAM)和FMC的扩展IO区以及SRAM4

    /*
    *********************************************************************************************************
    *    函 数 名: MPU_Config
    *    功能说明: 配置MPU
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    static void MPU_Config( void )
    {
        MPU_Region_InitTypeDef MPU_InitStruct;
    
        /* 禁止 MPU */
        HAL_MPU_Disable();
    
        /* 配置AXI SRAM的MPU属性为Write back, Read allocate,Write allocate */
        MPU_InitStruct.Enable           = MPU_REGION_ENABLE;
        MPU_InitStruct.BaseAddress      = 0x24000000;
        MPU_InitStruct.Size             = MPU_REGION_SIZE_512KB;
        MPU_InitStruct.AccessPermission = MPU_REGION_FULL_ACCESS;
        MPU_InitStruct.IsBufferable     = MPU_ACCESS_BUFFERABLE;
        MPU_InitStruct.IsCacheable      = MPU_ACCESS_CACHEABLE;
        MPU_InitStruct.IsShareable      = MPU_ACCESS_NOT_SHAREABLE;
        MPU_InitStruct.Number           = MPU_REGION_NUMBER0;
        MPU_InitStruct.TypeExtField     = MPU_TEX_LEVEL1;
        MPU_InitStruct.SubRegionDisable = 0x00;
        MPU_InitStruct.DisableExec      = MPU_INSTRUCTION_ACCESS_ENABLE;
    
        HAL_MPU_ConfigRegion(&MPU_InitStruct);
        
        
        /* 配置FMC扩展IO的MPU属性为Device或者Strongly Ordered */
        MPU_InitStruct.Enable           = MPU_REGION_ENABLE;
        MPU_InitStruct.BaseAddress      = 0x60000000;
        MPU_InitStruct.Size             = ARM_MPU_REGION_SIZE_64KB;    
        MPU_InitStruct.AccessPermission = MPU_REGION_FULL_ACCESS;
        MPU_InitStruct.IsBufferable     = MPU_ACCESS_BUFFERABLE;
        MPU_InitStruct.IsCacheable      = MPU_ACCESS_NOT_CACHEABLE;    
        MPU_InitStruct.IsShareable      = MPU_ACCESS_NOT_SHAREABLE;
        MPU_InitStruct.Number           = MPU_REGION_NUMBER1;
        MPU_InitStruct.TypeExtField     = MPU_TEX_LEVEL0;
        MPU_InitStruct.SubRegionDisable = 0x00;
        MPU_InitStruct.DisableExec      = MPU_INSTRUCTION_ACCESS_ENABLE;
        
        HAL_MPU_ConfigRegion(&MPU_InitStruct);
    
        /* 配置SRAM4的MPU属性为Non-cacheable */
        MPU_InitStruct.Enable           = MPU_REGION_ENABLE;
        MPU_InitStruct.BaseAddress      = 0x38000000;
        MPU_InitStruct.Size             = MPU_REGION_SIZE_64KB;
        MPU_InitStruct.AccessPermission = MPU_REGION_FULL_ACCESS;
        MPU_InitStruct.IsBufferable     = MPU_ACCESS_NOT_BUFFERABLE;
        MPU_InitStruct.IsCacheable      = MPU_ACCESS_NOT_CACHEABLE;
        MPU_InitStruct.IsShareable      = MPU_ACCESS_NOT_SHAREABLE;
        MPU_InitStruct.Number           = MPU_REGION_NUMBER2;
        MPU_InitStruct.TypeExtField     = MPU_TEX_LEVEL1;
        MPU_InitStruct.SubRegionDisable = 0x00;
        MPU_InitStruct.DisableExec      = MPU_INSTRUCTION_ACCESS_ENABLE;
    
        HAL_MPU_ConfigRegion(&MPU_InitStruct);
    
        /*使能 MPU */
        HAL_MPU_Enable(MPU_PRIVILEGED_DEFAULT);
    }
    
    /*
    *********************************************************************************************************
    *    函 数 名: CPU_CACHE_Enable
    *    功能说明: 使能L1 Cache
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    static void CPU_CACHE_Enable(void)
    {
        /* 使能 I-Cache */
        SCB_EnableICache();
    
        /* 使能 D-Cache */
        SCB_EnableDCache();
    }

      每10ms调用一次按键处理:

    按键处理是在滴答定时器中断里面实现,每10ms执行一次检测。

    /*
    *********************************************************************************************************
    *    函 数 名: bsp_RunPer10ms
    *    功能说明: 该函数每隔10ms被Systick中断调用1次。详见 bsp_timer.c的定时中断服务程序。一些处理时间要求
    *              不严格的任务可以放在此函数。比如:按键扫描、蜂鸣器鸣叫控制等。
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void bsp_RunPer10ms(void)
    {
        bsp_KeyScan10ms();
    }

      主功能

    主程序实现如下操作:

    •   启动一个自动重装软件定时器,每100ms翻转一次LED2。
    •   K1键按下,双通道输出,通道1输出方波,通道2输出正弦波。
    •   K2键按下,双通道输出方波。
    •   K3键按下,双通道输出正弦波。
    •   摇杆上键按下,通道1停止方波,通道2停止输出。
    •   摇杆下键按下,双通道输出直流。
    •   摇杆OK键按下,重新初始化。
    /*
    *********************************************************************************************************
    *    函 数 名: main
    *    功能说明: c程序入口
    *    形    参: 无
    *    返 回 值: 错误代码(无需处理)
    *********************************************************************************************************
    */
    int main(void)
    {
        bsp_Init();        /* 硬件初始化 */
        
        PrintfLogo();    /* 打印例程名称和版本等信息 */
    
        DemoSpiDac();   /* SPI DAC测试 */
    }
    
    /*
    *********************************************************************************************************
    *    函 数 名: DemoSpiDac
    *    功能说明: DAC8562测试
    *    形    参: 无
    *    返 回 值: 无
    *********************************************************************************************************
    */
    void DemoSpiDac(void)
    {
        uint8_t i=0;
        uint8_t ucKeyCode;    /* 按键代码 */
        
        sfDispMenu();        /* 打印命令提示 */
        
        bsp_StartAutoTimer(0, 200);    /* 启动1个100ms的自动重装的定时器 */
        
        
        /* 生成方波数据 */
        for(i =0; i< 50; i++)
        {
            ch1buf[i] = 0;
        }
        
        for(i =50; i< 100; i++)
        {
            ch1buf[i] = 65535;
        }
    
        /* 生成正弦波数据 */    
        MakeSinTable(ch2buf, 100, 0, 65535);
        
        /* 
           上电默认双通道输出:
            第1个参数:
              1 表示通道1输出
              2 表示通道2输出
              3 表示通道1和2都输出
              4 表示通道1和2都输出,并且附加一个控制命令,有效防止传输错误时恢复,即使插拔模块也不影响。
            最后一个参数:
              定时器触发速度1MHz,触发1次是一组24bit数据的传输。
              推荐范围100Hz - 1MHz。
        */
        DAC8562_SetDacDataDMA(4, ch1buf, ch2buf, sizeof(ch1buf)/sizeof(uint16_t),
     sizeof(ch2buf)/sizeof(uint16_t), 1000000);
        
        while(1)
        {
            bsp_Idle();        /* 这个函数在bsp.c文件。用户可以修改这个函数实现CPU休眠和喂狗 */
            
            /* 判断定时器超时时间 */
            if (bsp_CheckTimer(0))    
            {
                /* 每隔100ms 进来一次 */  
                bsp_LedToggle(2);
            }
            
            /* 按键滤波和检测由后台systick中断服务程序实现,我们只需要调用bsp_GetKey读取键值即可。 */
            ucKeyCode = bsp_GetKey();    /* 读取键值, 无键按下时返回 KEY_NONE = 0 */
            if (ucKeyCode != KEY_NONE)
            {
                switch (ucKeyCode)
                {
                    case KEY_DOWN_K1:            /* K1键按下,双通道输出,通道1输出方波,通道2输出正弦波 */
                        /* 生成方波数据 */
                        for(i =0; i< 50; i++)
                        {
                            ch1buf[i] = 0;
                        }
                        
                        for(i =50; i< 100; i++)
                        {
                            ch1buf[i] = 65535;
                        }
    
                        /* 生成正弦波数据 */    
                        MakeSinTable(ch2buf, 100, 0, 65535);
                        
                        /* 上电默认双通道输出,触发速度1MHz */
                        DAC8562_SetDacDataDMA(3, ch1buf, ch2buf, sizeof(ch1buf)/sizeof(uint16_t),
     sizeof(ch2buf)/sizeof(uint16_t), 1000000);
                        break;
    
                    case KEY_DOWN_K2:            /* K2键按下,双通道输出方波 */
                        /* 生成正弦波数据 */    
                        MakeSinTable(ch2buf, 100, 0, 65535);
                        
                        /* 上电默认双通道输出,触发速度1MHz */
                        DAC8562_SetDacDataDMA(3, ch2buf, ch2buf, sizeof(ch2buf)/sizeof(uint16_t),
     sizeof(ch2buf)/sizeof(uint16_t), 1000000);
                        break;
    
                    case KEY_DOWN_K3:            /* K3键按下,双通道输出正弦波 */
                        /* 生成方波数据 */
                        for(i =0; i< 50; i++)
                        {
                            ch1buf[i] = 0;
                        }
                        
                        for(i =50; i< 100; i++)
                        {
                            ch1buf[i] = 65535;
                        }
                        
                        /* 上电默认双通道输出,触发速度1MHz */
                        DAC8562_SetDacDataDMA(3, ch1buf, ch1buf, sizeof(ch1buf)/sizeof(uint16_t),
     sizeof(ch1buf)/sizeof(uint16_t), 1000000);    
                        break;
                    
                    case JOY_DOWN_U:            /* 摇杆上键按下,通道1停止方波,通道2停止输出 */
                        /* 通道1输出方波 */
                        for(i =0; i< 50; i++)
                        {
                            ch1buf[i] = 0;
                        }
                        
                        for(i =50; i< 100; i++)
                        {
                            ch1buf[i] = 65535;
                        }
                        
                        /* 仅通道1输出 */
                        DAC8562_SetDacDataDMA(1, ch1buf, ch2buf, sizeof(ch1buf)/sizeof(uint16_t),
     sizeof(ch2buf)/sizeof(uint16_t), 1000000);    
                        break;
                    
                    case JOY_DOWN_D:            /* 摇杆下键按下,双通道输出直流 */
                        /* 通道1输出负数10V */
                        DAC8562_SetDacData(0, 0);    
    
                        /* 通道2输出正10V */
                        DAC8562_SetDacData(1, 65535);        
                        break;
                    
                    case JOY_DOWN_OK:            /* 摇杆OK键按下,重新初始化  */
                        /* 初始化配置DAC8562/8563 */
                        //bsp_InitDAC8562();    
                        DAC8562_WriteCmd((7 << 19) | (0 << 16) | (1 << 0));
                        break;
    
                    default:
                        /* 其它的键值不处理 */
                        break;
                }
            }
        }
    }

    74.16   总结

    本章节涉及到的知识点非常多,特别是SPI DMA方式驱动的实现方法,需要大家稍花点精力去研究。

  • 相关阅读:
    codechef Graph on a Table
    CF1063F. String Journey
    BZOJ1547: 周末晚会
    maxsigma
    LOJ#2351. 「JOI 2018 Final」毒蛇越狱
    BZOJ3632: 外太空旅行
    图论:tarjan相关算法复习
    Codeforces 321E. Ciel and Gondolas(凸优化+决策单调性)
    5031. 【NOI2017模拟3.27】B (k次狄利克雷卷积)
    CSAcademy Round 10 Yury's Tree(有根树点分树或kruskal重构树)
  • 原文地址:https://www.cnblogs.com/armfly/p/12666585.html
Copyright © 2011-2022 走看看