zoukankan      html  css  js  c++  java
  • 黄聪:Delphi 中的 XMLDocument 类详解(1) 等待研究的内容

    //函数
    AddChild(const TagName: DOMString): IXMLNode; overload;
    AddChild(const TagName, NamespaceURI: DOMString): IXMLNode; overload;
    CreateElement(const TagOrData, NamespaceURI: DOMString): IXMLNode;
    CreateNode(const NameOrData: DOMString; NodeType: TNodeType = ntElement; const AddlData: DOMString = ''): IXMLNode;
    GetDocBinding(const TagName: DOMString; DocNodeClass: TClass; NamespaceURI: DOMString = ''): IXMLNode;
    GeneratePrefix(const Node: IXMLNode): DOMString;
    IsEmptyDoc: Boolean;

    //过程
    LoadFromFile(const AFileName: DOMString = '');
    LoadFromStream(const Stream: TStream; EncodingType: TXMLEncodingType = xetUnknown);
    LoadFromXML(const XML: string); overload;
    LoadFromXML(const XML: DOMString); overload;
    Refresh;
    RegisterDocBinding(const TagName: DOMString; DocNodeClass: TClass; NamespaceURI: DOMString = '');
    Resync;
    SaveToFile(const AFileName: DOMString = ''); dynamic;
    SaveToStream(const Stream: TStream);
    SaveToXML(var XML: DOMString); overload;
    SaveToXML(var XML: string); overload;


    //属性
    Active
    AsyncLoadState
    ChildNodes
    DocumentElement
    DOMDocument
    DOMImplementation
    DOMVendor
    Encoding
    FileName
    Modified
    Node
    NodeIndentStr
    NSPrefixBase
    Options
    ParseOptions
    SchemaRef
    StandAlone
    Version
    XML


    //事件
    AfterOpen : 打开后
    AfterClose : 关闭后
    AfterNodeChange : 修改后
    BeforeOpen : 打开前
    BeforeClose : 关闭前
    BeforeNodeChange : 修改前
    OnAsyncLoad : 异步解析时的状态


    //接口
    IXMLDocumentAccess
    IXMLNodeAccess

    IXMLDocument
    IXMLNode
    IXMLNodeList
    IXMLNodeCollection

    文章出处:http://www.cnblogs.com/del/archive/2008/01/02/1023829.html

  • 相关阅读:
    Netty入门
    hashCode方法里为什么选择数字31作为生成hashCode值的乘数
    【转】String hashCode 方法为什么选择数字31作为乘子
    NppFTP小插件的使用
    事务的基础入门
    Code Review 程序员的寄望与哀伤【转载】
    谈谈敏捷开发【转载】
    如何写代码 — 编程内功心法【转载】
    面经【转载】
    Swagger的简单入门【转载】
  • 原文地址:https://www.cnblogs.com/huangcong/p/1809855.html
Copyright © 2011-2022 走看看