zoukankan      html  css  js  c++  java
  • HSPICE 电平触发D触发器仿真

    一、 HSPICE的基本操作过程

    1. 打开HSPICE程序,通过OPEN打开编写好的网表文件。
    2. 按下SIMULATE进行网表文件的仿真。
    3. 按下AVANWAVES查看波形图(仿真结果)。

    二、 网表文件结构总结

    HSPICE输入文件包括电路标题语句,电路描述语句,分析类型描述语句,输出描述语句,注释语句,结束语句等六部分构成。

    电路描述语句:电路元器件,元器件模型,电路的输入激励和源,子电路。

    分析类型描述:瞬态分析语句,交流分析语句,直流扫描语句,可选项语句。

    三、 在纸上手画D触发器的晶体管级电路

    在这里插入图片描述

    图1-与非门原理图

    在这里插入图片描述

    图2-非门原理图

    在这里插入图片描述

    图3-D触发器原理图

    四、 写出D触发器的网表文件

    .title inverter
    .option post accurate probe
    .lib 'D:hspice2007modelPTM45nm
    mos90.lib' TT
    .lib 'D:hspice2007modelPTM45nmpmos90.lib' TT
    V1 1 0 dc=1.8
    
    .SUBCKT feimen 1 0 in out
    Mp0 out in 1 1 pmos W=20u L=180n 
    Mn0 out in 0 0 nmos W=10u L=180n 
    .ENDS 
    
    .SUBCKT yufei 1 0 A B vo
    Mp1 vo A 1 1 pmos W=20u L=180n
    Mp2 vo B 1 1 pmos W=20u L=180n
    Mn1 vo A vn vn nmos W=10u L=180n
    Mn2 vn B 0 0 nmos W=10u L=180n
    .ENDS
    
    X1 1 0 D 3 feimen
    X2 1 0 D CLK 2 yufei
    X3 1 0 CLK 3 4 yufei
    X4 1 0 2 QF Q yufei
    X5 1 0 Q 4 QF yufei
    
    V2 CLK 0 pulse(0 1.8 0.1n 0.1n 0.1n 0.2u 0.4u) 
    V3 D 0 pulse(0 1.8 0.1n 0.1n 0.1n 1u 2u)
    .op
    .tran 0.1n 5u 
    .probe v(CLK) v(D) v(Q) v(QF)
    .end
    

    五、 总结书写与非门网表文件中的注意事项

    1. 注意库文件的引用及模型名称的编写。
    2. 换行继续应用+号标注。
    3. 对节点命名时应注意不要有相同的的节点名。

    六、 HSPCIE仿真结果

    (一)、电平触发D触发器仿真结果图

    在这里插入图片描述

    图4-电平触发D触发器仿真结果

    (二)、结论

    电平D触发器在CLK=1期间输出Q与输入D的状态相同,当CLK变为低电平以后,触发器将保持CLK变为低电平之前的状态。触发器特性表如表1所示。

    表1-电平触发D触发器的特性表

    CLK D Q Q*
    0 X 0 0
    0 X 1 1
    1 0 0 0
    1 0 1 0
    1 1 0 1
    1 1 1 1

    七、 实验过程中的收获和体会

    通过本次集成电路课程设计,我收获良多。对于MOS管的原理,与非门的原理,非门的原理,电平触发D触发器的原理有了更加深刻的理解,对于HSPICE仿真软件的使用更加熟悉,本次实验课学会了将复杂的电路原理图分解成简单的子电路以及子电路的调用方法,而且加深了对于网编文件的调试能力.

  • 相关阅读:
    Linux命令基础
    ubuntu16.04修改ssh的端口
    ubuntu16.04没有办法使用CRT,或者SSH工具的解决办法
    如何启动、关闭和设置ubuntu防火墙
    ubuntu远程桌面软件vnc。
    CAD安装激活失败的原因
    如何调出电脑的任务管理器
    如何查看Windows10连接的WiFi密码
    如何知道和你在一个局域网的电脑个数?
    如何快速连上另一台电脑已共享的打印机
  • 原文地址:https://www.cnblogs.com/xgcl/p/14782971.html
Copyright © 2011-2022 走看看