zoukankan      html  css  js  c++  java
  • Prime_timer静态时序仿真

    静态时序仿真PT是对综合后的网表文件进行仿真,我们把前一讲DC综合得到的文件拷贝过来,包括:firnetlist.v网表文件,fir.sdc和fir.sdf文件,PT仿真使用的还是脚本文件,新建一个文件,命令为pt.scr,

    文件内容为:

    首先设置路径,和DC综合的路径一样

    set my_lib_path "/home/smic180/digital/sc/synopsys/ /home/smic180/digital/io/synopsys/ /home/smic180/digital/sc/symbols/synopsys/"
    set search_path "$search_path $my_lib_path "
    set synthetic_library "dw_foundation.sldb"
    set target_library "typical.db"

    set link_library "* typical.db * SP018N_V1p0_typ.db"

    然后读入文件,命令是:

    read_file -format verilog {/home/IC/Desktop/fir/prime_timer/firnetlist.v}

    设置顶层命令:current_design fir          fir是firnetlist文件里面顶层模块的名字

    设置link:link

    然后加载时钟约束命令:source fir.sdc

    最后报告一些信息:

    report_timing -from [all_inputs] -to [all_registers -data_pins] > timing.rpt

    report_timing -from [all_register -clock_pins] -to [all_registers -data_pins] >> timing.rpt

    report_timing -from [all_registers -clock_pins] -to [all_outputs] >> timing.rpt

    report_timing -from [all_inputs] -to [all_outputs] >> timing.rpt

    整个脚本文件内容:

    set my_lib_path "/home/smic180/digital/sc/synopsys/ /home/smic180/digital/io/synopsys/ /home/smic180/digital/sc/symbols/synopsys/"
    set search_path "$search_path $my_lib_path "
    set synthetic_library "dw_foundation.sldb"
    set target_library "typical.db"

    set link_library "* typical.db * SP018N_V1p0_typ.db"


    #void warning Info #

    suppress_message VER-130
    suppress_message VER-129
    suppress_message VER-318
    suppress_message ELAB-311
    suppress_message VER-936
    #suppress_message TIM-134
    #suppress_message DDB-24
    #suppress_message VO-4
    #suppress_message VO-11

    #read&link&Check design#

    #read_file -format verilog ./firnetlist.v
    read_file -format verilog {/home/IC/Desktop/fir/prime_timer/firnetlist.v}
    current_design fir
    link
    #current_design fir
    source fir.sdc

    report_timing -from [all_inputs] -to [all_registers -data_pins] > timing.rpt

    report_timing -from [all_register -clock_pins] -to [all_registers -data_pins] >> timing.rpt

    report_timing -from [all_registers -clock_pins] -to [all_outputs] >> timing.rpt

    report_timing -from [all_inputs] -to [all_outputs] >> timing.rpt

    怎么运行呢?

    在linux下,输入命令pt_shell

    然后输入:source pt.scr,运行结果如下,同时得到一个报告文件:timing.rpt

    prime_timer文件下的文件:

  • 相关阅读:
    Lesson 61-62 Are Relatives Important to You?
    Lesson 59-60 Househusband
    Lesson 57-58 Cosmetic Surgery
    Lesson 55-56 TV Commercials
    Blash
    高维宇宙
    巴卡尔
    完美标号
    小A点菜(luogu 1164)简单DP
    聪明的质监员(qc)
  • 原文地址:https://www.cnblogs.com/wojiaxiaoguo/p/10301497.html
Copyright © 2011-2022 走看看