zoukankan      html  css  js  c++  java
  • ibufds原语

    低压差分传送技术是基于低压差分信号(Low Volt-agc Differential signaling)的传送技术,从一个电路板系统内的高速信号传送到不同电路系统之间的快速数据传送都可以应用低压差分传送技术来实现,其应用正变得越来越重要。低压差分信号相对于单端的传送具有较高的噪声抑制功能,其较低的电压摆幅允许差分对线具有较高的数据传输速率,消耗较小的功率以及产生更低的电磁辐射。

    LVDS:Low Voltage Differential Signaling,低电压差分信号。
    LVDS传输支持速率一般在155Mbps(大约为77MHZ)以上。
    LVDS是一种低摆幅的差分信号技术,它使得信号能在差分PCB线对或平衡电缆上以几百Mbps的速率传输,其低压幅和低电流驱动输出实现了低噪声和低功耗。

    差分信号抗噪特性
    从差分信号传输线路上可以看出,若是理想状况,线路没有干扰时,
    在发送侧,可以形象理解为:
    IN= IN+ — IN-
    在接收侧,可以理解为:
    IN+ — IN- =OUT
    所以:
    OUT = IN
    在实际线路传输中,线路存在干扰,并且同时出现在差分线对上,
    在发送侧,仍然是:
    IN = IN+ — IN-
    线路传输干扰同时存在于差分对上,假设干扰为q,则接收则:
    (IN+ + q) — (IN- + q) = IN+ — IN- = OUT
    所以:
    OUT = IN

    噪声被抑止掉。 上述可以形象理解差分方式抑止噪声的能力。

    Xilinx公司差分原语的使用

    (原语,其英文名字为Primitive,是Xilinx针对其器件特征开发的一系列常用模块的名字,用户可以将其看成Xilinx公司为用户提供的库函数,类似于C++中的“cout”等关键字,是芯片中的基本元件,代表FPGA中实际拥有的硬件逻辑单元,如LUT,D触发器,RAM等,相当于软件中的机器语言。在实现过程中的翻译步骤时,要将所有的设计单元都转译为目标器件中的基本元件,否则就是不可实现的。原语在设计中可以直接例化使用,是最直接的代码输入方式,其和HDL语言的关系,类似于汇编语言和C语言的关系。)

    差分I/O端口组件

    1) IBUFDS

    IBUFDS原语用于将差分输入信号转化成标准单端信号,且可加入可选延迟。在IBUFDS原语中,输入信号为I、IB,一个为主,一个为从,二者相位相反。
    IBUFDS的逻辑真值表所列,其中“-*”表示输出维持上一次的输出值,保持不变。

    IBUFDS原语的例化代码模板如下所示:

    // IBUFDS: 差分输入缓冲器(Differential Input Buffer)
    // 适用芯片:Virtex-II/II-Pro/4, Spartan-3/3E
    // Xilinx HDL库向导版本,ISE 9.1
    IBUFDS #(
    .DIFF_TERM("FALSE"),
    // 差分终端,只有Virtex-4系列芯片才有,可设置为True/Flase
    .IOSTANDARD("DEFAULT")
    // 指定输入端口的电平标准,如果不确定,可设为DEFAULT
    ) IBUFDS_inst (
    .O(O), // 时钟缓冲输出
    .I(I), // 差分时钟的正端输入,需要和顶层模块的端口直接连接
    .IB(IB) // 差分时钟的负端输入,需要和顶层模块的端口直接连接
    );
    // 结束IBUFDS模块的例化过程

    http://www.xilinx.com/itp/xilinx6/books/data/docs/lib/lib0229_197.html

    2) OBUFDS

    OBUFDS将标准单端信号转换成差分信号,输出端口需要直接对应到顶层模块的输出信号,和IBUFDS为一对互逆操作。OBUFDS原语的真值表如表所列。

    OBUFDS原语的例化代码模板如下所示:

    // OBUFDS: 差分输出缓冲器(Differential Output Buffer)
    // 适用芯片:Virtex-II/II-Pro/4, Spartan-3/3E
    // Xilinx HDL库向导版本,ISE 9.1
    OBUFDS #(
    .IOSTANDARD("DEFAULT")
    // 指名输出端口的电平标准
    ) OBUFDS_inst (
    .O(O), // 差分正端输出,直接连接到顶层模块端口
    .OB(OB), // 差分负端输出,直接连接到顶层模块端口
    .I(I) // 缓冲器输入
    );
    // 结束OBUFDS模块的例化过程

    http://www.xilinx.com/itp/xilinx5/data/docs/lib/lib0317_301.html

    3) IOBUFDS

    Verilog Instantiation Template

    // IOBUFDS: Differential Bi-directional Buffer

    // Virtex-II/II-Pro/4/5, Spartan-3/3E/3A

    // Xilinx HDL Libraries Guide, version 9.1i

    IOBUFDS #(

    .IBUF_DELAY_VALUE("0"),

    // Specify the amount of added input delay for the buffer, "0"-"16" (Spartan-

    3E only)

    .IFD_DELAY_VALUE("AUTO"),

    // Specify the amount of added delay for input register, "AUTO", "0"-"8"

    (Spartan-3E only)

    .IOSTANDARD("DEFAULT") // Specify the I/O standard

    ) IOBUFDS_inst (

    .O(O), // Buffer output

    .IO(IO), // Diff_p inout (connect directly to top-level port)

    .IOB(IOB), // Diff_n inout (connect directly to top-level port)

    .I(I), // Buffer input

    .T(T) // 3-state enable input

    );

    // End of IOBUFDS_inst instantiation

    差分时钟组件

    1)IBUFGDS

    与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、 BUFGMUX、BUFGDLL和DCM等,如图1所示。

    IBUFGDS是IBUFG的差分形式,当信号从一对差分全局时钟管脚输入时,必须使用IBUFGDS作为全局时钟输入缓冲。IBUFG支持BLVDS、LDT、LVDSEXT、LVDS、LVPECL和ULVDS等多种格式的IO标准。

    http://www.xilinx.com/itp/xilinx6/books/data/docs/lib/lib0231_199.html

    verilog Instantiation Template

    IBUFGDS instanece_name(.O(user_O),

    .I (user_I),

    .IB (user_IB));

    参考资料:

    1)基于LVDS技术与FPGA的高速通讯应用研究,韩党群,唐征兵,张庆玲

    http://www.eccn.com/xsj07/xsj080231.asp

    2)LVDS原理与应用简介

    http://www.ent.eetchina.com/ART_8800472639_2700004_TA_5d4d019d.HTM

    3) 美国国家半导体的《LVDS用户手册》

    http://www.ent.eetchina.com/ART_8800562170_2700004_TA_552cca6f.HTM

    Xilinx FPGA 如何使用LVDS

    http://www.61eda.com/Services/help/Xilinx/200803/1225.html

    无论使用HDL flow还是schematic flow,只需要例化IBUFDS,OBUFDS之类的差分缓冲器,就可以使用LVDS了。

    例化之后,要定位Pin位置,使用PACE,在IO Standard中选择LVDS33或者LVDS25,还能选择有DCI的版本。定端口时,注意看Datasheet中Pin名字分P/N,这P也要对应buffer中的P,N也要对应N。而且注意同一个bank只能有一个电压标准。

    如果用FPGA Editor观察布局布线后的情况,就会发现,FPGA Editor中没有IBUFDS这个Component,这个Buffer是藏在IOB里的,点击到Pin的图块中,可以看到里面有一个Buffer,就起到了IBUFDS这个作用。

  • 相关阅读:
    Maximum execution time of 30 seconds exceeded解决错误方法
    php 获取随机数的几个方式
    php header utf8 插入header("Content-type: text/html; charset=utf-8");
    php mysqli query 查询数据库后读取内容的方法
    win7 xampp 验证码,session出不来的问题
    apache 中 ServerAlias让多个域名绑定到同一空间
    最近很火的一条成长公式,看看你属于那一条!
    用crontab执行shell把top命令按日期追加到文件
    php CI 实战教程第一季百度经验杂志
    php 截取字符串第一个字符,截取掉字符串最后一个字符的方法
  • 原文地址:https://www.cnblogs.com/xiaoxuesheng993/p/9187499.html
Copyright © 2011-2022 走看看